1
0
Fork 0
mirror of https://github.com/vim/vim synced 2025-03-16 06:47:52 +01:00

updated for version 7.2a

This commit is contained in:
Bram Moolenaar 2008-06-24 21:56:24 +00:00
parent 3577c6fafb
commit 446cb837a0
142 changed files with 32097 additions and 15365 deletions

File diff suppressed because it is too large Load diff

View file

@ -1,7 +1,7 @@
" netrwSettings.vim: makes netrw settings simpler
" Date: Mar 26, 2007
" Date: Mar 11, 2008
" Maintainer: Charles E Campbell, Jr <drchipNOSPAM at campbellfamily dot biz>
" Version: 9
" Version: 11
" Copyright: Copyright (C) 1999-2007 Charles E. Campbell, Jr. {{{1
" Permission is hereby granted to use and distribute this code,
" with or without modifications, provided that this copyright
@ -19,13 +19,13 @@
if exists("g:loaded_netrwSettings") || &cp
finish
endif
let g:loaded_netrwSettings = "v9"
let g:loaded_netrwSettings = "v11"
" ---------------------------------------------------------------------
" NetrwSettings: {{{1
fun! netrwSettings#NetrwSettings()
" this call is here largely just to insure that netrw has been loaded
call netrw#NetSavePosn()
call netrw#NetrwSavePosn()
if !exists("g:loaded_netrw")
echohl WarningMsg | echomsg "***sorry*** netrw needs to be loaded prior to using NetrwSettings" | echohl None
return
@ -101,23 +101,36 @@ fun! netrwSettings#NetrwSettings()
else
put = 'let g:netrw_browsex_viewer = (not defined)'
endif
let cdescline= line("$")
put ='let g:netrw_cd_escape...'
put = 'let g:netrw_compress = '.g:netrw_compress
let decompressline= line("$")
put ='let g:netrw_decompress...'
put = 'let g:netrw_dirhistmax = '.g:netrw_dirhistmax
put = 'let g:netrw_fastbrowse = '.g:netrw_fastbrowse
let fnameescline= line("$")
put = 'let g:netrw_fname_escape...'
put = 'let g:netrw_ftp_browse_reject = '.g:netrw_ftp_browse_reject
put = 'let g:netrw_ftp_list_cmd = '.g:netrw_ftp_list_cmd
put = 'let g:netrw_ftp_sizelist_cmd = '.g:netrw_ftp_sizelist_cmd
put = 'let g:netrw_ftp_timelist_cmd = '.g:netrw_ftp_timelist_cmd
let globescline= line("$")
put ='let g:netrw_glob_escape...'
put = 'let g:netrw_hide = '.g:netrw_hide
put = 'let g:netrw_keepdir = '.g:netrw_keepdir
put = 'let g:netrw_list_cmd = '.g:netrw_list_cmd
put = 'let g:netrw_list_hide = '.g:netrw_list_hide
put = 'let g:netrw_local_mkdir = '.g:netrw_local_mkdir
put = 'let g:netrw_local_rmdir = '.g:netrw_local_rmdir
put = 'let g:netrw_liststyle = '.g:netrw_liststyle
put = 'let g:netrw_localcopycmd = '.g:netrw_localcopycmd
put = 'let g:netrw_local_mkdir = '.g:netrw_local_mkdir
put = 'let g:netrw_localmovecmd = '.g:netrw_localmovecmd
put = 'let g:netrw_local_rmdir = '.g:netrw_local_rmdir
put = 'let g:netrw_maxfilenamelen = '.g:netrw_maxfilenamelen
put = 'let g:netrw_menu = '.g:netrw_menu
put = 'let g:netrw_mkdir_cmd = '.g:netrw_mkdir_cmd
put = 'let g:netrw_preview = '.g:netrw_preview
put = 'let g:netrw_rename_cmd = '.g:netrw_rename_cmd
put = 'let g:netrw_retmap = '.g:netrw_retmap
put = 'let g:netrw_rm_cmd = '.g:netrw_rm_cmd
put = 'let g:netrw_rmdir_cmd = '.g:netrw_rmdir_cmd
put = 'let g:netrw_rmf_cmd = '.g:netrw_rmf_cmd
@ -125,11 +138,15 @@ fun! netrwSettings#NetrwSettings()
put = 'let g:netrw_sort_by = '.g:netrw_sort_by
put = 'let g:netrw_sort_direction = '.g:netrw_sort_direction
put = 'let g:netrw_sort_sequence = '.g:netrw_sort_sequence
put = 'let g:netrw_special_syntax = '.g:netrw_special_syntax
put = 'let g:netrw_ssh_browse_reject = '.g:netrw_ssh_browse_reject
put = 'let g:netrw_scpport = '.g:netrw_scpport
put = 'let g:netrw_sshport = '.g:netrw_sshport
put = 'let g:netrw_timefmt = '.g:netrw_timefmt
let tmpfileescline= line("$")
put ='let g:netrw_tmpfile_escape...'
put = 'let g:netrw_use_noswf = '.g:netrw_use_noswf
put = 'let g:netrw_xstrlen = '.g:netrw_xstrlen
put = 'let g:netrw_winsize = '.g:netrw_winsize
put =''
@ -142,13 +159,18 @@ fun! netrwSettings#NetrwSettings()
silent %s/= $/= ''/e
1
" Put in shq setting.
" Put in g:netrw_shq setting and g:netrw_cd_escape
" (deferred so as to avoid the quote manipulation just preceding)
if g:netrw_shq == "'"
call setline(shqline,'let g:netrw_shq = "'.g:netrw_shq.'"')
call setline(shqline, 'let g:netrw_shq = "'.g:netrw_shq.'"')
else
call setline(shqline,"let g:netrw_shq = '".g:netrw_shq."'")
call setline(shqline, "let g:netrw_shq = '".g:netrw_shq."'")
endif
call setline(cdescline, "let g:netrw_cd_escape = ".'"'.escape(g:netrw_cd_escape,'\"').'"')
call setline(decompressline,"let g:netrw_decompress = ".substitute(string(g:netrw_decompress),"^'\\(.*\\)'$",'\1',''))
call setline(fnameescline, "let g:netrw_fname_escape = '".escape(g:netrw_fname_escape,"'")."'")
call setline(globescline, "let g:netrw_glob_escape = '".escape(g:netrw_glob_escape,"'")."'")
call setline(tmpfileescline,"let g:netrw_tmpfile_escape = '".escape(g:netrw_tmpfile_escape,"'")."'")
set nomod

View file

@ -408,4 +408,3 @@ let g:xmldata_html40s = {
\ 'param': ['/>', ''],
\ }
\ }
" vim:ft=vim:ff=unix

View file

@ -458,4 +458,3 @@ let g:xmldata_html40t = {
\ 'param': ['/>', ''],
\ }
\ }
" vim:ft=vim:ff=unix

View file

@ -432,4 +432,3 @@ let g:xmldata_xhtml11 = {
\ 'param': ['/>', ''],
\ }
\ }
" vim:ft=vim:ff=unix

View file

@ -1,16 +1,16 @@
" zip.vim: Handles browsing zipfiles
" AUTOLOAD PORTION
" Date: May 08, 2007
" Version: 14
" Date: Jun 12, 2008
" Version: 18
" Maintainer: Charles E Campbell, Jr <NdrOchip@ScampbellPfamily.AbizM-NOSPAM>
" License: Vim License (see vim's :help license)
" Copyright: Copyright (C) 2005 Charles E. Campbell, Jr. {{{1
" Copyright: Copyright (C) 2005-2008 Charles E. Campbell, Jr. {{{1
" Permission is hereby granted to use and distribute this code,
" with or without modifications, provided that this copyright
" notice is copied with it. Like anything else that's free,
" zipPlugin.vim is provided *as is* and comes with no warranty
" of any kind, either expressed or implied. By using this
" plugin, you agree that in no event will the copyright
" zip.vim and zipPlugin.vim are provided *as is* and comes with
" no warranty of any kind, either expressed or implied. By using
" this plugin, you agree that in no event will the copyright
" holder be liable for any damages resulting from the use
" of this software.
@ -22,7 +22,7 @@ if &cp || exists("g:loaded_zip") || v:version < 700
finish
endif
let g:loaded_zip = "v14"
let g:loaded_zip = "v18"
let s:zipfile_escape = ' ?&;\'
let s:ERROR = 2
let s:WARNING = 1
@ -31,7 +31,9 @@ let s:NOTE = 0
" ---------------------------------------------------------------------
" Global Values: {{{1
if !exists("g:zip_shq")
if has("unix")
if &shq != ""
let g:zip_shq= &shq
elseif has("unix")
let g:zip_shq= "'"
else
let g:zip_shq= '"'
@ -160,7 +162,9 @@ fun! s:ZipBrowseSelect()
" call Decho("curfile<".curfile.">")
new
wincmd _
if !exists("g:zip_nomax") || g:zip_nomax == 0
wincmd _
endif
let s:zipfile_{winnr()}= curfile
" call Decho("exe e zipfile:".escape(zipfile,s:zipfile_escape).'::'.escape(fname,s:zipfile_escape))
exe "e zipfile:".escape(zipfile,s:zipfile_escape).'::'.escape(fname,s:zipfile_escape)
@ -319,8 +323,13 @@ endfun
" QuoteFileDir: {{{2
fun! s:QuoteFileDir(fname)
" call Dfunc("QuoteFileDir(fname<".a:fname.">)")
" call Dret("QuoteFileDir")
return g:zip_shq.a:fname.g:zip_shq
if has("*shellescape")
let qnameq= shellescape(a:fname)
else
let qnameq= g:zip_shq.escape(a:fname,g:zip_shq).g:zip_shq
endif
" call Dret("QuoteFileDir <".qnameq.">")
return qnameq
endfun
" ---------------------------------------------------------------------

View file

@ -38,4 +38,4 @@ CompilerSet errorformat=
let &cpo = s:cpo_save
unlet s:cpo_save
" vim: nowrap sw=2 sts=2 ts=8 ff=unix:
" vim: nowrap sw=2 sts=2:

View file

@ -11,7 +11,7 @@ let current_compiler = "gcc"
let s:cpo_save = &cpo
set cpo-=C
setlocal errorformat=
CompilerSet errorformat=
\%*[^\"]\"%f\"%*\\D%l:\ %m,
\\"%f\"%*\\D%l:\ %m,
\%-G%f:%l:\ %trror:\ (Each\ undeclared\ identifier\ is\ reported\ only\ once,
@ -25,7 +25,7 @@ setlocal errorformat=
\%DMaking\ %*\\a\ in\ %f
if exists('g:compiler_gcc_ignore_unmatched_lines')
let &errorformat .= ',%-G%.%#'
CompilerSet errorformat+=%-G%.%#
endif
let &cpo = s:cpo_save

View file

@ -1,4 +1,4 @@
*autocmd.txt* For Vim version 7.1. Last change: 2007 Mar 27
*autocmd.txt* For Vim version 7.2a. Last change: 2008 Jun 24
VIM REFERENCE MANUAL by Bram Moolenaar
@ -104,7 +104,7 @@ local to the script and use mappings local to the script. When the event is
triggered and the command executed, it will run in the context of the script
it was defined in. This matters if |<SID>| is used in a command.
When executing the commands, the messages from one command overwrites a
When executing the commands, the message from one command overwrites a
previous message. This is different from when executing the commands
manually. Mostly the screen will not scroll up, thus there is no hit-enter
prompt. When one command outputs two messages this can happen anyway.
@ -334,7 +334,7 @@ BufDelete Before deleting a buffer from the buffer list.
list is renamed.
NOTE: When this autocommand is executed, the
current buffer "%" may be different from the
buffer being deleted "<afile>".
buffer being deleted "<afile>" and "<abuf>".
*BufEnter*
BufEnter After entering a buffer. Useful for setting
options for a file type. Also executed when
@ -400,10 +400,15 @@ BufUnload Before unloading a buffer. This is when the
*BufWinEnter*
BufWinEnter After a buffer is displayed in a window. This
can be when the buffer is loaded (after
processing the modelines), when a hidden
processing the modelines) or when a hidden
buffer is displayed in a window (and is no
longer hidden) or a buffer already visible in
a window is also displayed in another window.
longer hidden).
Does not happen for |:split| without
arguments, since you keep editing the same
buffer, or ":split" with a file that's already
open in a window. But it does happen for
a ":split" with the name of the current
buffer, since it reloads that buffer.
*BufWinLeave*
BufWinLeave Before a buffer is removed from a window.
Not when it's still visible in another window.
@ -677,7 +682,7 @@ QuickFixCmdPre Before a quickfix command is run (|:make|,
*QuickFixCmdPost*
QuickFixCmdPost Like QuickFixCmdPre, but after a quickfix
command is run, before jumping to the first
location.
location. See |QuickFixCmdPost-example|.
*RemoteReply*
RemoteReply When a reply from a Vim that functions as
server was received |server2client()|. The
@ -848,7 +853,7 @@ This autocommand will for example be executed for "/tmp/doc/xx.txt" and
The file name that the pattern is matched against is after expanding
wildcards. Thus is you issue this command: >
wildcards. Thus if you issue this command: >
:e $ROOTDIR/main.$EXT
The argument is first expanded to: >
/usr/root/main.py
@ -927,7 +932,7 @@ simply use the special string instead of the pattern. Examples: >
" current buffer
:au! * <buffer=33> " remove buffer-local autocommands for
" buffer #33
:dobuf :au! CursorHold <buffer> " remove autocmd for given event for all
:bufdo :au! CursorHold <buffer> " remove autocmd for given event for all
" buffers
:au * <buffer> " list buffer-local autocommands for
" current buffer
@ -1031,8 +1036,9 @@ option will not cause any commands to be executed.
undefined group name, Vim gives you an error message.
After applying the autocommands the modelines are
processed, so that their overrule the settings from
autocommands, like what happens when editing a file.
processed, so that their settings overrule the
settings from autocommands, like what happens when
editing a file.
*:doautoa* *:doautoall*
:doautoa[ll] [group] {event} [fname]

View file

@ -1,4 +1,4 @@
*change.txt* For Vim version 7.1. Last change: 2007 Jan 07
*change.txt* For Vim version 7.2a. Last change: 2008 Jun 22
VIM REFERENCE MANUAL by Bram Moolenaar
@ -229,16 +229,18 @@ key restores the original text (if there was any). (See section "Insert and
Replace mode" |mode-ins-repl|).
*cw* *cW*
Special case: "cw" and "cW" work the same as "ce" and "cE" if the cursor is
on a non-blank. This is because Vim interprets "cw" as change-word, and a
word does not include the following white space. {Vi: "cw" when on a blank
followed by other blanks changes only the first blank; this is probably a
bug, because "dw" deletes all the blanks; use the 'w' flag in 'cpoptions' to
make it work like Vi anyway}
Special case: When the cursor is in a word, "cw" and "cW" do not include the
white space after a word, they only change up to the end of the word. This is
because Vim interprets "cw" as change-word, and a word does not include the
following white space.
{Vi: "cw" when on a blank followed by other blanks changes only the first
blank; this is probably a bug, because "dw" deletes all the blanks; use the
'w' flag in 'cpoptions' to make it work like Vi anyway}
If you prefer "cw" to include the space after a word, use this mapping: >
:map cw dwi
<
Or use "caw" (see |aw|).
*:c* *:ch* *:change*
:{range}c[hange][!] Replace lines of text with some different text.
Type a line containing only "." to stop replacing.
@ -345,6 +347,10 @@ g?{motion} Rot13 encode {motion} text. {not in Vi}
g?g? *g?g?* *g??*
g?? Rot13 encode current line. {not in Vi}.
To turn one line into title caps, make every first letter of a word
uppercase: >
:s/\v<(.)(\w*)/\u\1\L\2/g
Adding and subtracting ~
*CTRL-A*
@ -474,7 +480,7 @@ For example: >
A filter is a program that accepts text at standard input, changes it in some
way, and sends it to standard output. You can use the commands below to send
some text through a filter, so that it is replace by the filter output.
some text through a filter, so that it is replaced by the filter output.
Examples of filters are "sort", which sorts lines alphabetically, and
"indent", which formats C program files (you need a version of indent that
works like a filter; not all versions do). The 'shell' option specifies the
@ -661,9 +667,9 @@ The flags that you can use for the substitute commands:
{not in Vi}
Note that there is no flag to change the "magicness" of the pattern. A
different command is used instead. The reason is that the flags can only be
found by skipping the pattern, and in order to skip the pattern the
"magicness" must be known. Catch 22!
different command is used instead, or you can use |/\v| and friends. The
reason is that the flags can only be found by skipping the pattern, and in
order to skip the pattern the "magicness" must be known. Catch 22!
If the {pattern} for the substitute command is empty, the command uses the
pattern from the last substitute or ":global" command. With the [r] flag, the
@ -686,7 +692,9 @@ can use any other single-byte character, but not an alphanumeric character,
pattern or replacement string. Example: >
:s+/+//+
For the definition of a pattern, see |pattern|.
For the definition of a pattern, see |pattern|. In Visual block mode, use
|/\%V| in the pattern to have the substitute work in the block only.
Otherwise it works on whole lines anyway.
*sub-replace-special* *:s\=*
When the {string} starts with "\=" it is evaluated as an expression, see
@ -1128,7 +1136,10 @@ nothing is returned. {not in Vi}
Contains the most recent search-pattern. This is used for "n" and 'hlsearch'.
It is writable with ":let", you can change it to have 'hlsearch' highlight
other matches without actually searching. You can't yank or delete into this
register. {not in Vi}
register. The search direction is available in |v:searchforward|.
Note that the valued is restored when returning from a function
|function-search-undo|.
{not in Vi}
*@/*
You can write to a register with a ":let" command |:let-@|. Example: >
@ -1253,9 +1264,11 @@ an external command, like "par" (e.g.: "!}par" to format until the end of the
paragraph) or set 'formatprg' to "par".
*format-comments*
Vim can format comments in a special way. Vim recognizes a comment by a
specific string at the start of the line (ignoring white space). Three types
of comments can be used:
An overview of comment formatting is in section |30.6| of the user manual.
Vim can automatically insert and format comments in a special way. Vim
recognizes a comment by a specific string at the start of the line (ignoring
white space). Three types of comments can be used:
- A comment string that repeats at the start of each line. An example is the
type of comment used in shell scripts, starting with "#".
@ -1263,7 +1276,7 @@ of comments can be used:
lines. An example is this list with dashes.
- Three-piece comments that have a start string, an end string, and optional
lines in between. The strings for the start, middle and end are different.
An example is the C-style comment:
An example is the C style comment:
/*
* this is a C comment
*/
@ -1289,23 +1302,24 @@ type of comment string. A part consists of:
e End of a three-piece comment
l Left adjust middle with start or end (default). Only recognized when
used together with 's' or 'e'.
l Left align. Used together with 's' or 'e', the leftmost character of
start or end will line up with the leftmost character from the middle.
This is the default and can be omitted. See below for more details.
r Right adjust middle with start or end. Only recognized when used
together with 's' or 'e'.
r Right align. Same as above but rightmost instead of leftmost. See
below for more details.
O Don't use this one for the "O" command.
O Don't consider this comment for the "O" command.
x Allows three-piece comments to be ended by just typing the last
character of the end-comment string as the first character on a new
line, when the middle-comment string has already been inserted
automatically. See below for more details.
character of the end-comment string as the first action on a new
line when the middle-comment string has been inserted automatically.
See below for more details.
{digits}
When together with 's' or 'e': add extra indent for the middle part.
This can be used to left-align the middle part with the start or end
and then add an offset.
When together with 's' or 'e': add {digit} amount of offset to an
automatically inserted middle or end comment leader. The offset begins
from a left alignment. See below for more details.
-{digits}
Like {digits} but reduce the indent. This only works when there is
@ -1334,12 +1348,42 @@ have a middle string because otherwise Vim can't recognize the middle lines.
Notice the use of the "x" flag in the above three-piece comment definition.
When you hit Return in a C-comment, Vim will insert the middle comment leader
for the new line, e.g. " * ". To close this comment you just have to type "/"
for the new line: " * ". To close this comment you just have to type "/"
before typing anything else on the new line. This will replace the
middle-comment leader with the end-comment leader, leaving just " */". There
is no need to hit BackSpace first.
middle-comment leader with the end-comment leader and apply any specified
alignment, leaving just " */". There is no need to hit BackSpace first.
Examples: >
Here is an example of alignment flags at work to make a comment stand out
(kind of looks like a 1 too). Consider comment string >
sr:/***,m:**,ex2:******/
/***
**<--right aligned from "r" flag
**
offset 2 spaces from the "2" flag--->**
******/
In this case, the first comment was typed, then return was pressed 4 times,
then "/" was pressed to end the comment.
Here are some finer points of three part comments. There are three times when
alignment and offset flags are taken into consideration: opening a new line
after a start-comment, opening a new line before an end-comment, and
automatically ending a three-piece comment. The end alignment flag has a
backwards perspective; the result is that the same alignment flag used with
"s" and "e" will result in the same indent for the starting and ending pieces.
Only one alignment per comment part is meant to be used, but an offset number
will override the "r" and "l" flag.
Enabling 'cindent' will override the alignment flags in many cases.
Reindenting using a different method like |gq| or |=| will not consult
alignment flags either. The same behaviour can be defined in those other
formatting options. One consideration is that 'cindent' has additional options
for context based indenting of comments but cannot replicate many three piece
indent alignments. However, 'indentexpr' is has the ability to work better
with three piece comments.
Other examples: >
"b:*" Includes lines starting with "*", but not if the "*" is
followed by a non-blank. This avoids a pointer dereference
like "*str" to be recognized as a comment.
@ -1350,17 +1394,6 @@ By default, "b:#" is included. This means that a line that starts with
"#include" is not recognized as a comment line. But a line that starts with
"# define" is recognized. This is a compromise.
Often the alignment can be changed from right alignment to a left alignment
with an additional space. For example, for Javadoc comments, this can be
used (insert a backslash before the space when using ":set"): >
s1:/*,mb:*,ex:*/
Note that an offset is included with start, so that the middle part is left
aligned with the start and then an offset of one character added. This makes
it possible to left align the start and middle for this construction: >
/**
* comment
*/
{not available when compiled without the |+comments| feature}
*fo-table*
@ -1391,7 +1424,7 @@ a Automatic formatting of paragraphs. Every time text is inserted or
n When formatting text, recognize numbered lists. This actually uses
the 'formatlistpat' option, thus any kind of list can be used. The
indent of the text after the number is used for the next line. The
default is to find a number, optionally be followed by '.', ':', ')',
default is to find a number, optionally followed by '.', ':', ')',
']' or '}'. Note that 'autoindent' must be set too. Doesn't work
well together with "2".
Example: >
@ -1555,9 +1588,10 @@ found here: |sort()|.
:sort /.*\%10v/
< To sort on the first number in the line, no matter
what is in front of it: >
:sort /.*\ze\d/
< With [r] sorting is done on the matching {pattern}
:sort /.\{-}\ze\d/
< (Explanation: ".\{-}" matches any text, "\ze" sets the
end of the match and \d matches a digit.)
With [r] sorting is done on the matching {pattern}
instead of skipping past it as described above.
For example, to sort on only the first three letters
of each line: >

View file

@ -1,4 +1,4 @@
*debug.txt* For Vim version 7.1. Last change: 2006 May 01
*debug.txt* For Vim version 7.2a. Last change: 2006 May 01
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*diff.txt* For Vim version 7.1. Last change: 2006 Oct 02
*diff.txt* For Vim version 7.2a. Last change: 2006 Oct 02
VIM REFERENCE MANUAL by Bram Moolenaar

File diff suppressed because it is too large Load diff

View file

@ -1,4 +1,4 @@
*fold.txt* For Vim version 7.1. Last change: 2007 May 11
*fold.txt* For Vim version 7.2a. Last change: 2007 May 11
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*gui_x11.txt* For Vim version 7.1. Last change: 2006 Jul 12
*gui_x11.txt* For Vim version 7.2a. Last change: 2007 Dec 09
VIM REFERENCE MANUAL by Bram Moolenaar
@ -426,7 +426,7 @@ means in detail:
- The session file is stored to a separate directory (usually $HOME/.gnome2).
- 'sessionoptions' is ignored, and a hardcoded set of appropriate flags is
used instead: >
blank,curdir,folds,globals,help,options,winsize
blank,curdir,folds,globals,help,options,tabpages,winsize
- The internal variable |v:this_session| is not changed when storing the
session. Also, it is restored to its old value when logging in again.

View file

@ -1,4 +1,4 @@
*help.txt* For Vim version 7.1. Last change: 2006 Nov 07
*help.txt* For Vim version 7.2a. Last change: 2008 Jun 21
VIM - main help file
k
@ -143,7 +143,7 @@ Special issues ~
|farsi.txt| Farsi (Persian) editing
|hebrew.txt| Hebrew language support and editing
|russian.txt| Russian language support and editing
|ada.txt| Ada (the programming language) support
|ft_ada.txt| Ada (the programming language) support
|hangulin.txt| Hangul (Korean) input mode
|rileft.txt| right-to-left editing mode

View file

@ -1,4 +1,4 @@
*if_cscop.txt* For Vim version 7.1. Last change: 2005 Mar 29
*if_cscop.txt* For Vim version 7.2a. Last change: 2005 Mar 29
VIM REFERENCE MANUAL by Andy Kahn

View file

@ -1,4 +1,4 @@
*if_ruby.txt* For Vim version 7.1. Last change: 2006 Apr 30
*if_ruby.txt* For Vim version 7.2a. Last change: 2006 Apr 30
VIM REFERENCE MANUAL by Shugo Maeda

View file

@ -1,4 +1,4 @@
*if_sniff.txt* For Vim version 7.1. Last change: 2005 Mar 29
*if_sniff.txt* For Vim version 7.2a. Last change: 2005 Mar 29
VIM REFERENCE MANUAL

View file

@ -1,4 +1,4 @@
*if_tcl.txt* For Vim version 7.1. Last change: 2006 Mar 06
*if_tcl.txt* For Vim version 7.2a. Last change: 2006 Mar 06
VIM REFERENCE MANUAL by Ingo Wilken

View file

@ -1,4 +1,4 @@
*mbyte.txt* For Vim version 7.1. Last change: 2006 Aug 11
*mbyte.txt* For Vim version 7.2a. Last change: 2008 Jun 21
VIM REFERENCE MANUAL by Bram Moolenaar et al.
@ -235,7 +235,7 @@ You could make a small shell script for this.
==============================================================================
3. Encoding *mbyte-encoding*
Vim uses the 'encoding' option to specify how characters identified and
Vim uses the 'encoding' option to specify how characters are identified and
encoded when they are used inside Vim. This applies to all the places where
text is used, including buffers (files loaded into memory), registers and
variables.
@ -351,6 +351,8 @@ u unicode same as ucs-2
u ucs2be same as ucs-2 (big endian)
u ucs-2be same as ucs-2 (big endian)
u ucs-4be same as ucs-4 (big endian)
u utf-32 same as ucs-4
u utf-32le same as ucs-4le
default stands for the default value of 'encoding', depends on the
environment
@ -966,11 +968,11 @@ WHAT IS GLOBAL IME *global-ime*
- Active Input Method Manager (Global IME)
http://msdn.microsoft.com/workshop/misc/AIMM/aimm.asp
Support Global IME is a experimental feature.
Support for Global IME is an experimental feature.
NOTE: For IME to work you must make sure the input locales of your language
are added to your system. The exact location of this depends on the version
of Windows you use. For example, on my W2P box:
of Windows you use. For example, on my Windows 2000 box:
1. Control Panel
2. Regional Options
3. Input Locales Tab
@ -1295,7 +1297,7 @@ Useful commands:
characters, as hex numbers.
- ":set encoding=utf-8 fileencodings=" forces using UTF-8 for all files. The
default is to use the current locale for 'encoding' and set 'fileencodings'
to automatically the encoding of a file.
to automatically detect the encoding of a file.
STARTING VIM

View file

@ -1,4 +1,4 @@
*motion.txt* For Vim version 7.1. Last change: 2006 Dec 07
*motion.txt* For Vim version 7.2a. Last change: 2008 May 02
VIM REFERENCE MANUAL by Bram Moolenaar
@ -366,9 +366,11 @@ W [count] WORDS forward. |exclusive| motion.
*e*
e Forward to the end of word [count] |inclusive|.
Does not stop in an empty line.
*E*
E Forward to the end of WORD [count] |inclusive|.
Does not stop in an empty line.
<S-Left> or *<S-Left>* *b*
b [count] words backward. |exclusive| motion.
@ -465,9 +467,9 @@ The definition of a sentence cannot be changed.
*paragraph*
A paragraph begins after each empty line, and also at each of a set of
paragraph macros, specified by the pairs of characters in the 'paragraphs'
option. The default is "IPLPPPQPP LIpplpipbp", which corresponds to the
macros ".IP", ".LP", etc. (These are nroff macros, so the dot must be in the
first column). A section boundary is also a paragraph boundary.
option. The default is "IPLPPPQPP TPHPLIPpLpItpplpipbp", which corresponds to
the macros ".IP", ".LP", etc. (These are nroff macros, so the dot must be in
the first column). A section boundary is also a paragraph boundary.
Note that a blank line (only containing white space) is NOT a paragraph
boundary.
Also note that this does not include a '{' or '}' in the first column. When
@ -809,10 +811,6 @@ Lowercase marks 'a to 'z are remembered as long as the file remains in the
buffer list. If you remove the file from the buffer list, all its marks are
lost. If you delete a line that contains a mark, that mark is erased.
To delete a mark: Create a new line, position the mark there, delete the line.
E.g.: "o<Esc>mxdd". This does change the file though. Using "u" won't work,
it also restores marks.
Lowercase marks can be used in combination with operators. For example: "d't"
deletes the lines from the cursor position to mark 't'. Hint: Use mark 't' for
Top, 'b' for Bottom, etc.. Lowercase marks are restored when using undo and
@ -1173,13 +1171,15 @@ remembered.
cursor is on the # or no ([{
following)
For other items the matchit plugin can be used, see
|matchit-install|.
|matchit-install|. This plugin also helps to skip
matches in comments.
When 'cpoptions' contains "M" |cpo-M| backslashes
before parens and braces are ignored. Without "M" the
number of backslashes matters: an even number doesn't
match with an odd number. Thus in "( \) )" and "\( (
\)" the first and last parenthesis match.
When the '%' character is not present in 'cpoptions'
|cpo-%|, parens and braces inside double quotes are
ignored, unless the number of parens/braces in a line
@ -1188,8 +1188,13 @@ remembered.
are also ignored (parens and braces inside single
quotes). Note that this works fine for C, but not for
Perl, where single quotes are used for strings.
No count is allowed ({count}% jumps to a line {count}
percentage down the file |N%|). Using '%' on
Nothing special is done for matches in comments. You
can either use the matchit plugin |matchit-install| or
put quotes around matches.
No count is allowed, {count}% jumps to a line {count}
percentage down the file |N%|. Using '%' on
#if/#else/#endif makes the movement linewise.
*[(*

View file

@ -1,4 +1,4 @@
*options.txt* For Vim version 7.1. Last change: 2008 Feb 24
*options.txt* For Vim version 7.2a. Last change: 2008 Jun 24
VIM REFERENCE MANUAL by Bram Moolenaar
@ -111,19 +111,31 @@ and the following arguments will be ignored.
When 'verbose' is non-zero, displaying an option value will also tell where it
was last set. Example: >
:verbose set shiftwidth cindent?
shiftwidth=4
Last set from modeline
cindent
Last set from /usr/local/share/vim/vim60/ftplugin/c.vim
This is only done when specific option values are requested, not for ":set
all" or ":set" without an argument.
When the option was set by hand there is no "Last set" message. There is only
one value for all local options with the same name. Thus the message applies
to the option name, not necessarily its value.
< shiftwidth=4 ~
Last set from modeline ~
cindent ~
Last set from /usr/local/share/vim/vim60/ftplugin/c.vim ~
This is only done when specific option values are requested, not for ":verbose
set all" or ":verbose set" without an argument.
When the option was set by hand there is no "Last set" message.
When the option was set while executing a function, user command or
autocommand, the script in which it was defined is reported.
Note that an option may also have been set as a side effect of setting
'compatible'.
A few special texts:
Last set from modeline ~
Option was set in a |modeline|.
Last set from --cmd argument ~
Option was set with command line argument |--cmd| or +.
Last set from -c argument ~
Option was set with command line argument |-c|, +, |-S| or
|-q|.
Last set from environment variable ~
Option was set from an environment variable, $VIMINIT,
$GVIMINIT or $EXINIT.
Last set from error handler ~
Option was cleared when evaluating it resulted in an error.
{not available when compiled without the +eval feature}
*:set-termcap* *E522*
@ -265,7 +277,10 @@ You will not get back the 'list' value as it was the last time you edited
Without argument: Display all local option's local
values which are different from the default.
When displaying a specific local option, show the
local value. For a global option the global value is
local value. For a global/local boolean option, when
the global value is being used, "--" is displayed
before the option name.
For a global option the global value is
shown (but that might change in the future).
{not in Vi}
@ -797,7 +812,7 @@ A jump table for the options with a short description can be found at |Q_op|.
When 'background' is set Vim will adjust the default color groups for
the new value. But the colors used for syntax highlighting will not
change.
change. *g:colors_name*
When a color scheme is loaded (the "colors_name" variable is set)
setting 'background' will cause the color scheme to be reloaded. If
the color scheme adjusts to the value of 'background' this will work.
@ -1148,9 +1163,11 @@ A jump table for the options with a short description can be found at |Q_op|.
*'browsedir'* *'bsdir'*
'browsedir' 'bsdir' string (default: "last")
global
{not in Vi} {only for Motif and Win32 GUI}
{not in Vi} {only for Motif, Athena, GTK, Mac and
Win32 GUI}
Which directory to use for the file browser:
last Use same directory as with last file browser.
last Use same directory as with last file browser, where a
file was opened or saved.
buffer Use the directory of the related buffer.
current Use the current directory.
{path} Use the specified directory
@ -1343,7 +1360,7 @@ A jump table for the options with a short description can be found at |Q_op|.
{not in Vi}
{not available when compiled without the |+cindent|
feature}
Enables automatic C program indenting See 'cinkeys' to set the keys
Enables automatic C program indenting. See 'cinkeys' to set the keys
that trigger reindenting in insert mode and 'cinoptions' to set your
preferred indent style.
If 'indentexpr' is not empty, it overrules 'cindent'.
@ -1711,7 +1728,8 @@ A jump table for the options with a short description can be found at |Q_op|.
tabs followed by spaces as required (unless |'expandtab'| is enabled,
in which case only spaces are used). Enabling this option makes the
new line copy whatever characters were used for indenting on the
existing line. If the new indent is greater than on the existing
existing line. 'expandtab' has no effect on these characters, a Tab
remains a Tab. If the new indent is greater than on the existing
line, the remaining space is filled in the normal manner.
NOTE: 'copyindent' is reset when 'compatible' is set.
Also see 'preserveindent'.
@ -2423,8 +2441,8 @@ A jump table for the options with a short description can be found at |Q_op|.
global or local to buffer |global-local|
{not in Vi}
External program to use for "=" command. When this option is empty
the internal formatting functions are used ('lisp', 'cindent' or
'indentexpr').
the internal formatting functions are used; either 'lisp', 'cindent'
or 'indentexpr'.
Environment variables are expanded |:set_env|. See |option-backslash|
about including spaces and backslashes.
This option cannot be set from a |modeline| or in the |sandbox|, for
@ -3362,7 +3380,7 @@ A jump table for the options with a short description can be found at |Q_op|.
'guitablabel' can be used to change the text in the labels.
When 'e' is missing a non-GUI tab pages line may be used.
The GUI tabs are only supported on some systems, currently
GTK, Motif and MS-Windows.
GTK, Motif, Mac OS/X and MS-Windows.
*'go-f'*
'f' Foreground: Don't use fork() to detach the GUI from the shell
where it was started. Use this for programs that wait for the
@ -3618,7 +3636,7 @@ A jump table for the options with a short description can be found at |Q_op|.
When you get bored looking at the highlighted matches, you can turn it
off with |:nohlsearch|. As soon as you use a search command, the
highlighting comes back.
'redrawtime' specifies the maximum time spend on finding matches.
'redrawtime' specifies the maximum time spent on finding matches.
When the search pattern can match an end-of-line, Vim will try to
highlight all of the matched text. However, this depends on where the
search starts. This will be the first line in the window or the first
@ -3917,12 +3935,13 @@ A jump table for the options with a short description can be found at |Q_op|.
local to buffer
{not in Vi}
When doing keyword completion in insert mode |ins-completion|, and
'ignorecase' is also on, the case of the match is adjusted. If the
typed text contains a lowercase letter where the match has an upper
case letter, the completed part is made lowercase. If the typed text
has no lowercase letters and the match has a lowercase letter where
the typed text has an uppercase letter, and there is a letter before
it, the completed part is made uppercase.
'ignorecase' is also on, the case of the match is adjusted depending
on the typed text. If the typed text contains a lowercase letter
where the match has an upper case letter, the completed part is made
lowercase. If the typed text has no lowercase letters and the match
has a lowercase letter where the typed text has an uppercase letter,
and there is a letter before it, the completed part is made uppercase.
With 'noinfercase' the match is used as-is.
*'insertmode'* *'im'* *'noinsertmode'* *'noim'*
'insertmode' 'im' boolean (default off)
@ -3967,6 +3986,10 @@ A jump table for the options with a short description can be found at |Q_op|.
Multi-byte characters 256 and above are always included, only the
characters up to 255 are specified with this option.
For UTF-8 the characters 0xa0 to 0xff are included as well.
Think twice before adding white space to this option. Although a
space may appear inside a file name, the effect will be that Vim
doesn't know where a file name starts or ends when doing completion.
It most likely works better without a space in 'isfname'.
Note that on systems using a backslash as path separator, Vim tries to
do its best to make it work as you would expect. That is a bit
@ -3993,7 +4016,7 @@ A jump table for the options with a short description can be found at |Q_op|.
are included. Normally these are the characters a to z and A to Z,
plus accented characters. To include '@' itself use "@-@". Examples:
"@,^a-z" All alphabetic characters, excluding lower
case letters.
case ASCII letters.
"a-z,A-Z,@-@" All letters plus the '@' character.
A comma can be included by using it where a character number is
expected. Example:
@ -4617,8 +4640,9 @@ A jump table for the options with a short description can be found at |Q_op|.
global
{not in Vi}
Enable the use of the mouse. Only works for certain terminals
(xterm, MS-DOS, Win32 |win32-mouse|, QNX pterm, and Linux console
with gpm). For using the mouse in the GUI, see |gui-mouse|.
(xterm, MS-DOS, Win32 |win32-mouse|, QNX pterm, *BSD console with
sysmouse and Linux console with gpm). For using the mouse in the
GUI, see |gui-mouse|.
The mouse can be enabled for different modes:
n Normal mode
v Visual mode
@ -4948,6 +4972,7 @@ A jump table for the options with a short description can be found at |Q_op|.
Note that typing <F10> in paste mode inserts "<F10>", since in paste
mode everything is inserted literally, except the 'pastetoggle' key
sequence.
When the value has several bytes 'ttimeoutlen' applies.
*'pex'* *'patchexpr'*
'patchexpr' 'pex' string (default "")
@ -5054,6 +5079,8 @@ A jump table for the options with a short description can be found at |Q_op|.
enabled, in which case only spaces are used). Enabling this option
means the indent will preserve as many existing characters as possible
for indenting, and only add additional tabs or spaces as required.
'expandtab' does not apply to the preserved white space, a Tab remains
a Tab.
NOTE: When using ">>" multiple times the resulting indent is a mix of
tabs and spaces. You might not like this.
NOTE: 'preserveindent' is reset when 'compatible' is set.
@ -5894,6 +5921,9 @@ A jump table for the options with a short description can be found at |Q_op|.
pattern (if there is one) as possible matches. Thus, if you have
matched a C function, you can see a template for what arguments are
required (coding style permitting).
Note that this doesn't work well together with having "longest" in
'completeopt', because the completion from the search pattern may not
match the typed text.
*'showmatch'* *'sm'* *'noshowmatch'* *'nosm'*
'showmatch' 'sm' boolean (default off)
@ -6478,6 +6508,8 @@ A jump table for the options with a short description can be found at |Q_op|.
split If included, split the current window before loading
a buffer. Otherwise: do not split, use current window.
Supported in |quickfix| commands that display errors.
newtab Like "split", but open a new tab page. Overrules
"split" when both are present.
*'synmaxcol'* *'smc'*
'synmaxcol' 'smc' number (default 3000)
@ -6602,7 +6634,7 @@ A jump table for the options with a short description can be found at |Q_op|.
Linear searching is done anyway, for one file, when Vim finds a line
at the start of the file indicating that it's not sorted: >
!_TAG_FILE_SORTED 0 /some command/
!_TAG_FILE_SORTED 0 /some comment/
< [The whitespace before and after the '0' must be a single <Tab>]
When a binary search was done and no match was found in any of the
@ -7089,7 +7121,7 @@ A jump table for the options with a short description can be found at |Q_op|.
"xterm", when the terminal name doesn't start with "xterm", but it can
handle xterm mouse codes.
The "xterm2" value will be set if the xterm version is reported to be
95 of higher. This only works when compiled with the |+termresponse|
95 or higher. This only works when compiled with the |+termresponse|
feature and if |t_RV| is set to the escape sequence to request the
xterm version number. Otherwise "xterm2" must be set explicitly.
If you do not want 'ttymouse' to be set to "xterm2" automatically, set
@ -7424,6 +7456,7 @@ A jump table for the options with a short description can be found at |Q_op|.
{not in Vi}
Character you have to type to start wildcard expansion in the
command-line, as specified with 'wildmode'.
More info here: |cmdline-completion|.
The character is not recognized when used inside a macro. See
'wildcharm' for that.
Although 'wc' is a number option, you can set it to a special key: >
@ -7441,7 +7474,7 @@ A jump table for the options with a short description can be found at |Q_op|.
you'll never actually type 'wildcharm', just use it in mappings that
automatically invoke completion mode, e.g.: >
:set wcm=<C-Z>
:cmap ss so $vim/sessions/*.vim<C-Z>
:cnoremap ss so $vim/sessions/*.vim<C-Z>
< Then after typing :ss you can use CTRL-P & CTRL-N.
*'wildignore'* *'wig'*
@ -7536,6 +7569,7 @@ A jump table for the options with a short description can be found at |Q_op|.
< List all matches without completing, then each full match >
:set wildmode=longest,list
< Complete longest common string, then list alternatives.
More info here: |cmdline-completion|.
*'wildoptions'* *'wop'*
'wildoptions' 'wop' string (default "")
@ -7596,13 +7630,17 @@ A jump table for the options with a short description can be found at |Q_op|.
feature}
Minimal number of lines for the current window. This is not a hard
minimum, Vim will use fewer lines if there is not enough room. If the
current window is smaller, its size is increased, at the cost of the
height of other windows. Set it to 999 to make the current window
always fill the screen (although this has the drawback that ":all"
will create only two windows). Set it to a small number for normal
editing.
Minimum value is 1.
The height is not adjusted after one of the commands to change the
focus goes to a window that is smaller, its size is increased, at the
cost of the height of other windows.
Set 'winheight' to a small number for normal editing.
Set it to 999 to make the current window fill most of the screen.
Other windows will be only 'winminheight' high. This has the drawback
that ":all" will create only two windows. To avoid "vim -o 1 2 3 4"
to create only two windows, set the option after startup is done,
using the |VimEnter| event: >
au VimEnter * set winheight=999
< Minimum value is 1.
The height is not adjusted after one of the commands that change the
height of the current window.
'winheight' applies to the current window. Use 'winminheight' to set
the minimal height for other windows.

View file

@ -1,4 +1,4 @@
*os_390.txt* For Vim version 7.1. Last change: 2005 Mar 29
*os_390.txt* For Vim version 7.2a. Last change: 2005 Mar 29
VIM REFERENCE MANUAL by Ralf Schandl

View file

@ -1,4 +1,4 @@
*os_mac.txt* For Vim version 7.1. Last change: 2006 Apr 30
*os_mac.txt* For Vim version 7.2a. Last change: 2006 Apr 30
VIM REFERENCE MANUAL by Bram Moolenaar et al.

View file

@ -1,4 +1,4 @@
*os_msdos.txt* For Vim version 7.1. Last change: 2005 Mar 29
*os_msdos.txt* For Vim version 7.2a. Last change: 2005 Mar 29
VIM REFERENCE MANUAL by Bram Moolenaar

File diff suppressed because it is too large Load diff

View file

@ -1,4 +1,4 @@
*pi_paren.txt* For Vim version 7.1. Last change: 2006 Jun 14
*pi_paren.txt* For Vim version 7.2a. Last change: 2008 Jun 16
VIM REFERENCE MANUAL by Bram Moolenaar
@ -15,6 +15,7 @@ You can avoid loading this plugin by setting the "loaded_matchparen" variable: >
The plugin installs CursorMoved, CursorMovedI and WinEnter autocommands to
redefine the match highlighting.
*:NoMatchParen* *:DoMatchParen*
To disable the plugin after it was loaded use this command: >
:NoMatchParen
@ -46,5 +47,11 @@ are:
- 'synmaxcol' times 2 bytes before or after the cursor to avoid a delay
in a long line with syntax highlighting.
If you would like the |%| command to work better, the matchit plugin can be
used, see |matchit-install|. This plugin also helps to skip matches in
comments. This is unrelated to the matchparen highlighting, they use a
different mechanism.
==============================================================================
vim:tw=78:ts=8:ft=help:norl:

View file

@ -1,4 +1,4 @@
*quotes.txt* For Vim version 7.1. Last change: 2006 Apr 24
*quotes.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*recover.txt* For Vim version 7.1. Last change: 2006 Apr 24
*recover.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*rileft.txt* For Vim version 7.1. Last change: 2006 Apr 24
*rileft.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM REFERENCE MANUAL by Avner Lottem

View file

@ -1,4 +1,4 @@
*russian.txt* For Vim version 7.1. Last change: 2006 Apr 24
*russian.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM REFERENCE MANUAL by Vassily Ragosin

View file

@ -1,4 +1,4 @@
*sign.txt* For Vim version 7.1. Last change: 2006 Apr 24
*sign.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM REFERENCE MANUAL by Gordon Prieur

View file

@ -702,8 +702,10 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
'qe' options.txt /*'qe'*
'quote motion.txt /*'quote*
'quoteescape' options.txt /*'quoteescape'*
'rdt' options.txt /*'rdt'*
'readonly' options.txt /*'readonly'*
'redraw' vi_diff.txt /*'redraw'*
'redrawtime' options.txt /*'redrawtime'*
'remap' options.txt /*'remap'*
'report' options.txt /*'report'*
'restorescreen' options.txt /*'restorescreen'*
@ -1114,6 +1116,7 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
+feature-list various.txt /*+feature-list*
+file_in_path various.txt /*+file_in_path*
+find_in_path various.txt /*+find_in_path*
+float various.txt /*+float*
+folding various.txt /*+folding*
+footer various.txt /*+footer*
+fork various.txt /*+fork*
@ -1138,6 +1141,7 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
+mouse_gpm various.txt /*+mouse_gpm*
+mouse_netterm various.txt /*+mouse_netterm*
+mouse_pterm various.txt /*+mouse_pterm*
+mouse_sysmouse various.txt /*+mouse_sysmouse*
+mouse_xterm various.txt /*+mouse_xterm*
+mouseshape various.txt /*+mouseshape*
+multi_byte various.txt /*+multi_byte*
@ -1227,6 +1231,7 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
--servername remote.txt /*--servername*
--socketid starting.txt /*--socketid*
--version starting.txt /*--version*
--windowid starting.txt /*--windowid*
-A starting.txt /*-A*
-C starting.txt /*-C*
-D starting.txt /*-D*
@ -1317,6 +1322,7 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
/ pattern.txt /*\/*
/$ pattern.txt /*\/$*
/. pattern.txt /*\/.*
// version7.txt /*\/\/*
//; pattern.txt /*\/\/;*
/<CR> pattern.txt /*\/<CR>*
/[[. pattern.txt /*\/[[.*
@ -1734,29 +1740,33 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
:@ repeat.txt /*:@*
:@: repeat.txt /*:@:*
:@@ repeat.txt /*:@@*
:AdaLines ada.txt /*:AdaLines*
:AdaRainbow ada.txt /*:AdaRainbow*
:AdaSpaces ada.txt /*:AdaSpaces*
:AdaTagDir ada.txt /*:AdaTagDir*
:AdaTagFile ada.txt /*:AdaTagFile*
:AdaTypes ada.txt /*:AdaTypes*
:AdaLines ft_ada.txt /*:AdaLines*
:AdaRainbow ft_ada.txt /*:AdaRainbow*
:AdaSpaces ft_ada.txt /*:AdaSpaces*
:AdaTagDir ft_ada.txt /*:AdaTagDir*
:AdaTagFile ft_ada.txt /*:AdaTagFile*
:AdaTypes ft_ada.txt /*:AdaTypes*
:CompilerSet usr_41.txt /*:CompilerSet*
:DiffOrig diff.txt /*:DiffOrig*
:DoMatchParen pi_paren.txt /*:DoMatchParen*
:Explore pi_netrw.txt /*:Explore*
:GLVS pi_getscript.txt /*:GLVS*
:GetLatestVimScripts_dat pi_getscript.txt /*:GetLatestVimScripts_dat*
:GnatFind ada.txt /*:GnatFind*
:GnatPretty ada.txt /*:GnatPretty*
:GnatTags ada.txt /*:GnatTags*
:GnatFind ft_ada.txt /*:GnatFind*
:GnatPretty ft_ada.txt /*:GnatPretty*
:GnatTags ft_ada.txt /*:GnatTags*
:Hexplore pi_netrw.txt /*:Hexplore*
:Man filetype.txt /*:Man*
:MkVimball pi_vimball.txt /*:MkVimball*
:N editing.txt /*:N*
:NetrwClean pi_netrw.txt /*:NetrwClean*
:Nexplore pi_netrw.txt /*:Nexplore*
:Next editing.txt /*:Next*
:NoMatchParen pi_paren.txt /*:NoMatchParen*
:P various.txt /*:P*
:Pexplore pi_netrw.txt /*:Pexplore*
:Print various.txt /*:Print*
:Rexplore pi_netrw.txt /*:Rexplore*
:RmVimball pi_vimball.txt /*:RmVimball*
:Sexplore pi_netrw.txt /*:Sexplore*
:TOhtml syntax.txt /*:TOhtml*
@ -2153,6 +2163,7 @@ $VIMRUNTIME starting.txt /*$VIMRUNTIME*
:highlight-link syntax.txt /*:highlight-link*
:highlight-normal syntax.txt /*:highlight-normal*
:highlight-verbose syntax.txt /*:highlight-verbose*
:his cmdline.txt /*:his*
:history cmdline.txt /*:history*
:history-indexing cmdline.txt /*:history-indexing*
:i insert.txt /*:i*
@ -4079,8 +4090,18 @@ E794 eval.txt /*E794*
E795 eval.txt /*E795*
E796 editing.txt /*E796*
E797 spell.txt /*E797*
E798 eval.txt /*E798*
E799 eval.txt /*E799*
E80 message.txt /*E80*
E800 arabic.txt /*E800*
E801 eval.txt /*E801*
E802 eval.txt /*E802*
E803 eval.txt /*E803*
E804 eval.txt /*E804*
E805 eval.txt /*E805*
E806 eval.txt /*E806*
E807 eval.txt /*E807*
E808 eval.txt /*E808*
E81 map.txt /*E81*
E82 message.txt /*E82*
E83 message.txt /*E83*
@ -4129,6 +4150,7 @@ FilterReadPost autocmd.txt /*FilterReadPost*
FilterReadPre autocmd.txt /*FilterReadPre*
FilterWritePost autocmd.txt /*FilterWritePost*
FilterWritePre autocmd.txt /*FilterWritePre*
Float eval.txt /*Float*
FocusGained autocmd.txt /*FocusGained*
FocusLost autocmd.txt /*FocusLost*
Folding fold.txt /*Folding*
@ -4161,6 +4183,7 @@ Japanese mbyte.txt /*Japanese*
K various.txt /*K*
KDE gui_x11.txt /*KDE*
KVim gui_x11.txt /*KVim*
Kibaale uganda.txt /*Kibaale*
Korean mbyte.txt /*Korean*
L motion.txt /*L*
Linux-backspace options.txt /*Linux-backspace*
@ -4195,6 +4218,7 @@ Normal intro.txt /*Normal*
Normal-mode intro.txt /*Normal-mode*
Nread pi_netrw.txt /*Nread*
Nsource pi_netrw.txt /*Nsource*
Number eval.txt /*Number*
Nvi intro.txt /*Nvi*
Nwrite pi_netrw.txt /*Nwrite*
O insert.txt /*O*
@ -4266,6 +4290,7 @@ Q_vm quickref.txt /*Q_vm*
Q_wi quickref.txt /*Q_wi*
Q_wq quickref.txt /*Q_wq*
QuickFixCmdPost autocmd.txt /*QuickFixCmdPost*
QuickFixCmdPost-example quickfix.txt /*QuickFixCmdPost-example*
QuickFixCmdPre autocmd.txt /*QuickFixCmdPre*
Quickfix quickfix.txt /*Quickfix*
R change.txt /*R*
@ -4279,7 +4304,7 @@ Ruby if_ruby.txt /*Ruby*
Russian russian.txt /*Russian*
S change.txt /*S*
SHELL starting.txt /*SHELL*
SQLSetType sql.txt /*SQLSetType*
SQLSetType ft_sql.txt /*SQLSetType*
Select visual.txt /*Select*
Select-mode visual.txt /*Select-mode*
Select-mode-mapping visual.txt /*Select-mode-mapping*
@ -4298,7 +4323,7 @@ Syntax autocmd.txt /*Syntax*
T motion.txt /*T*
TCL if_tcl.txt /*TCL*
TERM starting.txt /*TERM*
TSQL sql.txt /*TSQL*
TSQL ft_sql.txt /*TSQL*
TTpro-telnet syntax.txt /*TTpro-telnet*
Tab intro.txt /*Tab*
TabEnter autocmd.txt /*TabEnter*
@ -4306,7 +4331,7 @@ TabLeave autocmd.txt /*TabLeave*
Tcl if_tcl.txt /*Tcl*
TermChanged autocmd.txt /*TermChanged*
TermResponse autocmd.txt /*TermResponse*
Transact-SQL sql.txt /*Transact-SQL*
Transact-SQL ft_sql.txt /*Transact-SQL*
U undo.txt /*U*
UTF-8 mbyte.txt /*UTF-8*
UTF8-xterm mbyte.txt /*UTF8-xterm*
@ -4484,18 +4509,18 @@ ab motion.txt /*ab*
abandon editing.txt /*abandon*
abbreviations map.txt /*abbreviations*
abel.vim syntax.txt /*abel.vim*
abs() eval.txt /*abs()*
active-buffer windows.txt /*active-buffer*
ada#Create_Tags() ada.txt /*ada#Create_Tags()*
ada#Jump_Tag() ada.txt /*ada#Jump_Tag()*
ada#Listtags() ada.txt /*ada#Listtags()*
ada#Switch_Syntax_Option() ada.txt /*ada#Switch_Syntax_Option()*
ada#Word() ada.txt /*ada#Word()*
ada-compiler ada.txt /*ada-compiler*
ada-ctags ada.txt /*ada-ctags*
ada-extra-plugins ada.txt /*ada-extra-plugins*
ada-reference ada.txt /*ada-reference*
ada.txt ada.txt /*ada.txt*
ada.vim ada.txt /*ada.vim*
ada#Create_Tags() ft_ada.txt /*ada#Create_Tags()*
ada#Jump_Tag() ft_ada.txt /*ada#Jump_Tag()*
ada#Listtags() ft_ada.txt /*ada#Listtags()*
ada#Switch_Syntax_Option() ft_ada.txt /*ada#Switch_Syntax_Option()*
ada#Word() ft_ada.txt /*ada#Word()*
ada-compiler ft_ada.txt /*ada-compiler*
ada-ctags ft_ada.txt /*ada-ctags*
ada-extra-plugins ft_ada.txt /*ada-extra-plugins*
ada-reference ft_ada.txt /*ada-reference*
ada.vim ft_ada.txt /*ada.vim*
add() eval.txt /*add()*
add-filetype-plugin usr_05.txt /*add-filetype-plugin*
add-global-plugin usr_05.txt /*add-global-plugin*
@ -4515,6 +4540,7 @@ added-6.2 version6.txt /*added-6.2*
added-6.3 version6.txt /*added-6.3*
added-6.4 version6.txt /*added-6.4*
added-7.1 version7.txt /*added-7.1*
added-7.2 version7.txt /*added-7.2*
added-BeOS version5.txt /*added-BeOS*
added-Mac version5.txt /*added-Mac*
added-VMS version5.txt /*added-VMS*
@ -4551,6 +4577,7 @@ asm.vim syntax.txt /*asm.vim*
asm68k syntax.txt /*asm68k*
asmh8300.vim syntax.txt /*asmh8300.vim*
at motion.txt /*at*
atan() eval.txt /*atan()*
athena-intellimouse gui.txt /*athena-intellimouse*
attr-list syntax.txt /*attr-list*
author intro.txt /*author*
@ -4742,6 +4769,7 @@ catch-interrupt eval.txt /*catch-interrupt*
catch-order eval.txt /*catch-order*
catch-text eval.txt /*catch-text*
cc change.txt /*cc*
ceil() eval.txt /*ceil()*
ch.vim syntax.txt /*ch.vim*
change-list-jumps motion.txt /*change-list-jumps*
change-tabs change.txt /*change-tabs*
@ -4759,6 +4787,7 @@ changed-6.2 version6.txt /*changed-6.2*
changed-6.3 version6.txt /*changed-6.3*
changed-6.4 version6.txt /*changed-6.4*
changed-7.1 version7.txt /*changed-7.1*
changed-7.2 version7.txt /*changed-7.2*
changelist motion.txt /*changelist*
changelog.vim syntax.txt /*changelog.vim*
changenr() eval.txt /*changenr()*
@ -4771,12 +4800,14 @@ characterwise-register change.txt /*characterwise-register*
characterwise-visual visual.txt /*characterwise-visual*
charconvert_from-variable eval.txt /*charconvert_from-variable*
charconvert_to-variable eval.txt /*charconvert_to-variable*
charity uganda.txt /*charity*
charset mbyte.txt /*charset*
charset-conversion mbyte.txt /*charset-conversion*
chill.vim syntax.txt /*chill.vim*
cindent() eval.txt /*cindent()*
cinkeys-format indent.txt /*cinkeys-format*
cinoptions-values indent.txt /*cinoptions-values*
clearmatches() eval.txt /*clearmatches()*
client-server remote.txt /*client-server*
clientserver remote.txt /*clientserver*
clipboard gui.txt /*clipboard*
@ -4809,16 +4840,17 @@ compatible-default starting.txt /*compatible-default*
compile-changes-5 version5.txt /*compile-changes-5*
compile-changes-6 version6.txt /*compile-changes-6*
compile-changes-7 version7.txt /*compile-changes-7*
compiler-compaqada ada.txt /*compiler-compaqada*
compiler-decada ada.txt /*compiler-decada*
compiler-compaqada ft_ada.txt /*compiler-compaqada*
compiler-decada ft_ada.txt /*compiler-decada*
compiler-gcc quickfix.txt /*compiler-gcc*
compiler-gnat ada.txt /*compiler-gnat*
compiler-hpada ada.txt /*compiler-hpada*
compiler-gnat ft_ada.txt /*compiler-gnat*
compiler-hpada ft_ada.txt /*compiler-hpada*
compiler-manx quickfix.txt /*compiler-manx*
compiler-perl quickfix.txt /*compiler-perl*
compiler-pyunit quickfix.txt /*compiler-pyunit*
compiler-select quickfix.txt /*compiler-select*
compiler-tex quickfix.txt /*compiler-tex*
compiler-vaxada ada.txt /*compiler-vaxada*
compiler-vaxada ft_ada.txt /*compiler-vaxada*
compl-current insert.txt /*compl-current*
compl-define insert.txt /*compl-define*
compl-dictionary insert.txt /*compl-dictionary*
@ -4855,6 +4887,7 @@ copy-diffs diff.txt /*copy-diffs*
copy-move change.txt /*copy-move*
copying uganda.txt /*copying*
copyright uganda.txt /*copyright*
cos() eval.txt /*cos()*
count intro.txt /*count*
count() eval.txt /*count()*
count-bytes tips.txt /*count-bytes*
@ -4983,6 +5016,7 @@ dab motion.txt /*dab*
dap motion.txt /*dap*
das motion.txt /*das*
dav pi_netrw.txt /*dav*
davs pi_netrw.txt /*davs*
daw motion.txt /*daw*
dd change.txt /*dd*
debug-gcc debug.txt /*debug-gcc*
@ -5002,7 +5036,7 @@ debugger-integration debugger.txt /*debugger-integration*
debugger-support debugger.txt /*debugger-support*
debugger.txt debugger.txt /*debugger.txt*
dec-mouse options.txt /*dec-mouse*
decada_members ada.txt /*decada_members*
decada_members ft_ada.txt /*decada_members*
deepcopy() eval.txt /*deepcopy()*
definition-search tagsrch.txt /*definition-search*
definitions intro.txt /*definitions*
@ -5132,6 +5166,7 @@ errorformat-javac quickfix.txt /*errorformat-javac*
errorformat-multi-line quickfix.txt /*errorformat-multi-line*
errorformat-separate-filename quickfix.txt /*errorformat-separate-filename*
errorformats quickfix.txt /*errorformats*
errors message.txt /*errors*
escape intro.txt /*escape*
escape() eval.txt /*escape()*
escape-bar version4.txt /*escape-bar*
@ -5292,11 +5327,17 @@ fixed-6.2 version6.txt /*fixed-6.2*
fixed-6.3 version6.txt /*fixed-6.3*
fixed-6.4 version6.txt /*fixed-6.4*
fixed-7.1 version7.txt /*fixed-7.1*
fixed-7.2 version7.txt /*fixed-7.2*
flexwiki.vim syntax.txt /*flexwiki.vim*
float2nr() eval.txt /*float2nr()*
floating-point-format eval.txt /*floating-point-format*
floating-point-precision eval.txt /*floating-point-precision*
floor() eval.txt /*floor()*
fname_diff-variable eval.txt /*fname_diff-variable*
fname_in-variable eval.txt /*fname_in-variable*
fname_new-variable eval.txt /*fname_new-variable*
fname_out-variable eval.txt /*fname_out-variable*
fnameescape() eval.txt /*fnameescape()*
fnamemodify() eval.txt /*fnamemodify()*
fo-table change.txt /*fo-table*
fold-behavior fold.txt /*fold-behavior*
@ -5336,18 +5377,17 @@ format-comments change.txt /*format-comments*
formatting change.txt /*formatting*
formfeed intro.txt /*formfeed*
fortran.vim syntax.txt /*fortran.vim*
french-maillist intro.txt /*french-maillist*
frombook usr_01.txt /*frombook*
ft-abel-syntax syntax.txt /*ft-abel-syntax*
ft-ada-commands ada.txt /*ft-ada-commands*
ft-ada-constants ada.txt /*ft-ada-constants*
ft-ada-functions ada.txt /*ft-ada-functions*
ft-ada-indent ada.txt /*ft-ada-indent*
ft-ada-omni ada.txt /*ft-ada-omni*
ft-ada-options ada.txt /*ft-ada-options*
ft-ada-plugin ada.txt /*ft-ada-plugin*
ft-ada-syntax ada.txt /*ft-ada-syntax*
ft-ada-variables ada.txt /*ft-ada-variables*
ft-ada-commands ft_ada.txt /*ft-ada-commands*
ft-ada-constants ft_ada.txt /*ft-ada-constants*
ft-ada-functions ft_ada.txt /*ft-ada-functions*
ft-ada-indent ft_ada.txt /*ft-ada-indent*
ft-ada-omni ft_ada.txt /*ft-ada-omni*
ft-ada-options ft_ada.txt /*ft-ada-options*
ft-ada-plugin ft_ada.txt /*ft-ada-plugin*
ft-ada-syntax ft_ada.txt /*ft-ada-syntax*
ft-ada-variables ft_ada.txt /*ft-ada-variables*
ft-ant-syntax syntax.txt /*ft-ant-syntax*
ft-apache-syntax syntax.txt /*ft-apache-syntax*
ft-asm-syntax syntax.txt /*ft-asm-syntax*
@ -5384,6 +5424,7 @@ ft-fortran-indent indent.txt /*ft-fortran-indent*
ft-fortran-plugin filetype.txt /*ft-fortran-plugin*
ft-fortran-syntax syntax.txt /*ft-fortran-syntax*
ft-fvwm-syntax syntax.txt /*ft-fvwm-syntax*
ft-gitcommit-plugin filetype.txt /*ft-gitcommit-plugin*
ft-groff-syntax syntax.txt /*ft-groff-syntax*
ft-gsp-syntax syntax.txt /*ft-gsp-syntax*
ft-haskell-syntax syntax.txt /*ft-haskell-syntax*
@ -5417,6 +5458,7 @@ ft-nroff-syntax syntax.txt /*ft-nroff-syntax*
ft-ocaml-syntax syntax.txt /*ft-ocaml-syntax*
ft-papp-syntax syntax.txt /*ft-papp-syntax*
ft-pascal-syntax syntax.txt /*ft-pascal-syntax*
ft-pdf-plugin filetype.txt /*ft-pdf-plugin*
ft-perl-syntax syntax.txt /*ft-perl-syntax*
ft-php-omni insert.txt /*ft-php-omni*
ft-php-syntax syntax.txt /*ft-php-syntax*
@ -5456,6 +5498,7 @@ ft-tex-syntax syntax.txt /*ft-tex-syntax*
ft-tf-syntax syntax.txt /*ft-tf-syntax*
ft-vb-syntax syntax.txt /*ft-vb-syntax*
ft-verilog-indent indent.txt /*ft-verilog-indent*
ft-vhdl-indent indent.txt /*ft-vhdl-indent*
ft-vim-indent indent.txt /*ft-vim-indent*
ft-vim-syntax syntax.txt /*ft-vim-syntax*
ft-xf86conf-syntax syntax.txt /*ft-xf86conf-syntax*
@ -5463,6 +5506,8 @@ ft-xhtml-omni insert.txt /*ft-xhtml-omni*
ft-xml-omni insert.txt /*ft-xml-omni*
ft-xml-syntax syntax.txt /*ft-xml-syntax*
ft-xpm-syntax syntax.txt /*ft-xpm-syntax*
ft_ada.txt ft_ada.txt /*ft_ada.txt*
ft_sql.txt ft_sql.txt /*ft_sql.txt*
ftdetect filetype.txt /*ftdetect*
ftp pi_netrw.txt /*ftp*
ftplugin usr_41.txt /*ftplugin*
@ -5476,6 +5521,7 @@ function-argument eval.txt /*function-argument*
function-key intro.txt /*function-key*
function-list usr_41.txt /*function-list*
function-range-example eval.txt /*function-range-example*
function-search-undo eval.txt /*function-search-undo*
function_key intro.txt /*function_key*
functions eval.txt /*functions*
fvwm.vim syntax.txt /*fvwm.vim*
@ -5493,54 +5539,59 @@ g- undo.txt /*g-*
g0 motion.txt /*g0*
g8 various.txt /*g8*
g:NetrwTopLvlMenu pi_netrw.txt /*g:NetrwTopLvlMenu*
g:ada#Comment ada.txt /*g:ada#Comment*
g:ada#Ctags_Kinds ada.txt /*g:ada#Ctags_Kinds*
g:ada#DotWordRegex ada.txt /*g:ada#DotWordRegex*
g:ada#Keywords ada.txt /*g:ada#Keywords*
g:ada#WordRegex ada.txt /*g:ada#WordRegex*
g:ada_abbrev ada.txt /*g:ada_abbrev*
g:ada_all_tab_usage ada.txt /*g:ada_all_tab_usage*
g:ada_begin_preproc ada.txt /*g:ada_begin_preproc*
g:ada_default_compiler ada.txt /*g:ada_default_compiler*
g:ada_extended_completion ada.txt /*g:ada_extended_completion*
g:ada_extended_tagging ada.txt /*g:ada_extended_tagging*
g:ada_folding ada.txt /*g:ada_folding*
g:ada_gnat_extensions ada.txt /*g:ada_gnat_extensions*
g:ada_line_errors ada.txt /*g:ada_line_errors*
g:ada_no_tab_space_error ada.txt /*g:ada_no_tab_space_error*
g:ada_no_trail_space_error ada.txt /*g:ada_no_trail_space_error*
g:ada_omni_with_keywords ada.txt /*g:ada_omni_with_keywords*
g:ada_rainbow_color ada.txt /*g:ada_rainbow_color*
g:ada_space_errors ada.txt /*g:ada_space_errors*
g:ada_standard_types ada.txt /*g:ada_standard_types*
g:ada_with_gnat_project_files ada.txt /*g:ada_with_gnat_project_files*
g:ada_withuse_ordinary ada.txt /*g:ada_withuse_ordinary*
g:decada ada.txt /*g:decada*
g:decada.Error_Format ada.txt /*g:decada.Error_Format*
g:decada.Make() ada.txt /*g:decada.Make()*
g:decada.Make_Command ada.txt /*g:decada.Make_Command*
g:decada.Unit_Name() ada.txt /*g:decada.Unit_Name()*
g:gnat ada.txt /*g:gnat*
g:gnat.Error_Format ada.txt /*g:gnat.Error_Format*
g:gnat.Find() ada.txt /*g:gnat.Find()*
g:gnat.Find_Program ada.txt /*g:gnat.Find_Program*
g:gnat.Make() ada.txt /*g:gnat.Make()*
g:gnat.Make_Command ada.txt /*g:gnat.Make_Command*
g:gnat.Pretty() ada.txt /*g:gnat.Pretty()*
g:gnat.Pretty_Program ada.txt /*g:gnat.Pretty_Program*
g:gnat.Project_File ada.txt /*g:gnat.Project_File*
g:gnat.Set_Project_File() ada.txt /*g:gnat.Set_Project_File()*
g:gnat.Tags() ada.txt /*g:gnat.Tags()*
g:gnat.Tags_Command ada.txt /*g:gnat.Tags_Command*
g:ada#Comment ft_ada.txt /*g:ada#Comment*
g:ada#Ctags_Kinds ft_ada.txt /*g:ada#Ctags_Kinds*
g:ada#DotWordRegex ft_ada.txt /*g:ada#DotWordRegex*
g:ada#Keywords ft_ada.txt /*g:ada#Keywords*
g:ada#WordRegex ft_ada.txt /*g:ada#WordRegex*
g:ada_abbrev ft_ada.txt /*g:ada_abbrev*
g:ada_all_tab_usage ft_ada.txt /*g:ada_all_tab_usage*
g:ada_begin_preproc ft_ada.txt /*g:ada_begin_preproc*
g:ada_default_compiler ft_ada.txt /*g:ada_default_compiler*
g:ada_extended_completion ft_ada.txt /*g:ada_extended_completion*
g:ada_extended_tagging ft_ada.txt /*g:ada_extended_tagging*
g:ada_folding ft_ada.txt /*g:ada_folding*
g:ada_gnat_extensions ft_ada.txt /*g:ada_gnat_extensions*
g:ada_line_errors ft_ada.txt /*g:ada_line_errors*
g:ada_no_tab_space_error ft_ada.txt /*g:ada_no_tab_space_error*
g:ada_no_trail_space_error ft_ada.txt /*g:ada_no_trail_space_error*
g:ada_omni_with_keywords ft_ada.txt /*g:ada_omni_with_keywords*
g:ada_rainbow_color ft_ada.txt /*g:ada_rainbow_color*
g:ada_space_errors ft_ada.txt /*g:ada_space_errors*
g:ada_standard_types ft_ada.txt /*g:ada_standard_types*
g:ada_with_gnat_project_files ft_ada.txt /*g:ada_with_gnat_project_files*
g:ada_withuse_ordinary ft_ada.txt /*g:ada_withuse_ordinary*
g:colors_name options.txt /*g:colors_name*
g:decada ft_ada.txt /*g:decada*
g:decada.Error_Format ft_ada.txt /*g:decada.Error_Format*
g:decada.Make() ft_ada.txt /*g:decada.Make()*
g:decada.Make_Command ft_ada.txt /*g:decada.Make_Command*
g:decada.Unit_Name() ft_ada.txt /*g:decada.Unit_Name()*
g:gnat ft_ada.txt /*g:gnat*
g:gnat.Error_Format ft_ada.txt /*g:gnat.Error_Format*
g:gnat.Find() ft_ada.txt /*g:gnat.Find()*
g:gnat.Find_Program ft_ada.txt /*g:gnat.Find_Program*
g:gnat.Make() ft_ada.txt /*g:gnat.Make()*
g:gnat.Make_Command ft_ada.txt /*g:gnat.Make_Command*
g:gnat.Pretty() ft_ada.txt /*g:gnat.Pretty()*
g:gnat.Pretty_Program ft_ada.txt /*g:gnat.Pretty_Program*
g:gnat.Project_File ft_ada.txt /*g:gnat.Project_File*
g:gnat.Set_Project_File() ft_ada.txt /*g:gnat.Set_Project_File()*
g:gnat.Tags() ft_ada.txt /*g:gnat.Tags()*
g:gnat.Tags_Command ft_ada.txt /*g:gnat.Tags_Command*
g:netrw_alto pi_netrw.txt /*g:netrw_alto*
g:netrw_altv pi_netrw.txt /*g:netrw_altv*
g:netrw_browse_split pi_netrw.txt /*g:netrw_browse_split*
g:netrw_browsex_viewer pi_netrw.txt /*g:netrw_browsex_viewer*
g:netrw_cd_escape pi_netrw.txt /*g:netrw_cd_escape*
g:netrw_compress pi_netrw.txt /*g:netrw_compress*
g:netrw_cygwin pi_netrw.txt /*g:netrw_cygwin*
g:netrw_dav_cmd pi_netrw.txt /*g:netrw_dav_cmd*
g:netrw_decompress pi_netrw.txt /*g:netrw_decompress*
g:netrw_extracmd pi_netrw.txt /*g:netrw_extracmd*
g:netrw_fastbrowse pi_netrw.txt /*g:netrw_fastbrowse*
g:netrw_fetch_cmd pi_netrw.txt /*g:netrw_fetch_cmd*
g:netrw_fname_escape pi_netrw.txt /*g:netrw_fname_escape*
g:netrw_ftp pi_netrw.txt /*g:netrw_ftp*
g:netrw_ftp_browse_reject pi_netrw.txt /*g:netrw_ftp_browse_reject*
g:netrw_ftp_cmd pi_netrw.txt /*g:netrw_ftp_cmd*
@ -5548,6 +5599,7 @@ g:netrw_ftp_list_cmd pi_netrw.txt /*g:netrw_ftp_list_cmd*
g:netrw_ftp_sizelist_cmd pi_netrw.txt /*g:netrw_ftp_sizelist_cmd*
g:netrw_ftp_timelist_cmd pi_netrw.txt /*g:netrw_ftp_timelist_cmd*
g:netrw_ftpmode pi_netrw.txt /*g:netrw_ftpmode*
g:netrw_glob_escape pi_netrw.txt /*g:netrw_glob_escape*
g:netrw_hide pi_netrw.txt /*g:netrw_hide*
g:netrw_http_cmd pi_netrw.txt /*g:netrw_http_cmd*
g:netrw_ignorenetrc pi_netrw.txt /*g:netrw_ignorenetrc*
@ -5557,12 +5609,15 @@ g:netrw_list_hide pi_netrw.txt /*g:netrw_list_hide*
g:netrw_liststyle pi_netrw.txt /*g:netrw_liststyle*
g:netrw_local_mkdir pi_netrw.txt /*g:netrw_local_mkdir*
g:netrw_local_rmdir pi_netrw.txt /*g:netrw_local_rmdir*
g:netrw_localcopycmd pi_netrw.txt /*g:netrw_localcopycmd*
g:netrw_localmovecmd pi_netrw.txt /*g:netrw_localmovecmd*
g:netrw_maxfilenamelen pi_netrw.txt /*g:netrw_maxfilenamelen*
g:netrw_menu pi_netrw.txt /*g:netrw_menu*
g:netrw_mkdir_cmd pi_netrw.txt /*g:netrw_mkdir_cmd*
g:netrw_nogx pi_netrw.txt /*g:netrw_nogx*
g:netrw_passwd pi_netrw.txt /*g:netrw_passwd*
g:netrw_preview pi_netrw.txt /*g:netrw_preview*
g:netrw_rcp_cmd pi_netrw.txt /*g:netrw_rcp_cmd*
g:netrw_retmap pi_netrw.txt /*g:netrw_retmap*
g:netrw_rm_cmd pi_netrw.txt /*g:netrw_rm_cmd*
g:netrw_rmdir_cmd pi_netrw.txt /*g:netrw_rmdir_cmd*
g:netrw_rmf_cmd pi_netrw.txt /*g:netrw_rmf_cmd*
@ -5571,25 +5626,39 @@ g:netrw_scp_cmd pi_netrw.txt /*g:netrw_scp_cmd*
g:netrw_scpport pi_netrw.txt /*g:netrw_scpport*
g:netrw_sftp_cmd pi_netrw.txt /*g:netrw_sftp_cmd*
g:netrw_shq pi_netrw.txt /*g:netrw_shq*
g:netrw_silent pi_netrw.txt /*g:netrw_silent*
g:netrw_sort_by pi_netrw.txt /*g:netrw_sort_by*
g:netrw_sort_direction pi_netrw.txt /*g:netrw_sort_direction*
g:netrw_sort_sequence pi_netrw.txt /*g:netrw_sort_sequence*
g:netrw_special_syntax pi_netrw.txt /*g:netrw_special_syntax*
g:netrw_ssh_browse_reject pi_netrw.txt /*g:netrw_ssh_browse_reject*
g:netrw_ssh_cmd pi_netrw.txt /*g:netrw_ssh_cmd*
g:netrw_sshport pi_netrw.txt /*g:netrw_sshport*
g:netrw_timefmt pi_netrw.txt /*g:netrw_timefmt*
g:netrw_tmpfile_escape pi_netrw.txt /*g:netrw_tmpfile_escape*
g:netrw_uid pi_netrw.txt /*g:netrw_uid*
g:netrw_use_errorwindow pi_netrw.txt /*g:netrw_use_errorwindow*
g:netrw_use_noswf pi_netrw.txt /*g:netrw_use_noswf*
g:netrw_use_nt_rcp pi_netrw.txt /*g:netrw_use_nt_rcp*
g:netrw_win95ftp pi_netrw.txt /*g:netrw_win95ftp*
g:netrw_winsize pi_netrw.txt /*g:netrw_winsize*
g:netrw_xstrlen pi_netrw.txt /*g:netrw_xstrlen*
g:tar_browseoptions pi_tar.txt /*g:tar_browseoptions*
g:tar_cmd pi_tar.txt /*g:tar_cmd*
g:tar_nomax pi_tar.txt /*g:tar_nomax*
g:tar_readoptions pi_tar.txt /*g:tar_readoptions*
g:tar_writeoptions pi_tar.txt /*g:tar_writeoptions*
g:var eval.txt /*g:var*
g:vimball_home pi_vimball.txt /*g:vimball_home*
g:vimball_mkdir pi_vimball.txt /*g:vimball_mkdir*
g:vimball_path_escape pi_vimball.txt /*g:vimball_path_escape*
g:vimsyn_embed syntax.txt /*g:vimsyn_embed*
g:vimsyn_folding syntax.txt /*g:vimsyn_folding*
g:vimsyn_maxlines syntax.txt /*g:vimsyn_maxlines*
g:vimsyn_minlines syntax.txt /*g:vimsyn_minlines*
g:vimsyn_noerror syntax.txt /*g:vimsyn_noerror*
g:zip_nomax pi_zip.txt /*g:zip_nomax*
g:zip_shq pi_zip.txt /*g:zip_shq*
g:zip_unzipcmd pi_zip.txt /*g:zip_unzipcmd*
g:zip_zipcmd pi_zip.txt /*g:zip_zipcmd*
g; motion.txt /*g;*
@ -5649,6 +5718,8 @@ getftype() eval.txt /*getftype()*
getlatestvimscripts-install pi_getscript.txt /*getlatestvimscripts-install*
getline() eval.txt /*getline()*
getloclist() eval.txt /*getloclist()*
getmatches() eval.txt /*getmatches()*
getpid() eval.txt /*getpid()*
getpos() eval.txt /*getpos()*
getqflist() eval.txt /*getqflist()*
getreg() eval.txt /*getreg()*
@ -5674,6 +5745,7 @@ glob() eval.txt /*glob()*
global-ime mbyte.txt /*global-ime*
global-local options.txt /*global-local*
global-variable eval.txt /*global-variable*
global_markfilelist pi_netrw.txt /*global_markfilelist*
globpath() eval.txt /*globpath()*
glvs pi_getscript.txt /*glvs*
glvs-alg pi_getscript.txt /*glvs-alg*
@ -5689,10 +5761,10 @@ glvs-options pi_getscript.txt /*glvs-options*
glvs-plugins pi_getscript.txt /*glvs-plugins*
glvs-usage pi_getscript.txt /*glvs-usage*
gm motion.txt /*gm*
gnat#Insert_Tags_Header() ada.txt /*gnat#Insert_Tags_Header()*
gnat#New() ada.txt /*gnat#New()*
gnat-xref ada.txt /*gnat-xref*
gnat_members ada.txt /*gnat_members*
gnat#Insert_Tags_Header() ft_ada.txt /*gnat#Insert_Tags_Header()*
gnat#New() ft_ada.txt /*gnat#New()*
gnat-xref ft_ada.txt /*gnat-xref*
gnat_members ft_ada.txt /*gnat_members*
gnome-session gui_x11.txt /*gnome-session*
go motion.txt /*go*
gp change.txt /*gp*
@ -5752,6 +5824,7 @@ gui-w32-dialogs gui_w32.txt /*gui-w32-dialogs*
gui-w32-printing gui_w32.txt /*gui-w32-printing*
gui-w32-start gui_w32.txt /*gui-w32-start*
gui-w32-various gui_w32.txt /*gui-w32-various*
gui-w32-windowid gui_w32.txt /*gui-w32-windowid*
gui-w32s gui_w32.txt /*gui-w32s*
gui-win32-maximized gui_w32.txt /*gui-win32-maximized*
gui-x11 gui_x11.txt /*gui-x11*
@ -5828,7 +5901,6 @@ highlight-stop syntax.txt /*highlight-stop*
highlight-term syntax.txt /*highlight-term*
highlightID() eval.txt /*highlightID()*
highlight_exists() eval.txt /*highlight_exists()*
his cmdline.txt /*his*
hist-names eval.txt /*hist-names*
histadd() eval.txt /*histadd()*
histdel() eval.txt /*histdel()*
@ -6062,7 +6134,7 @@ index() eval.txt /*index()*
index.txt index.txt /*index.txt*
info-message starting.txt /*info-message*
inform.vim syntax.txt /*inform.vim*
informix sql.txt /*informix*
informix ft_sql.txt /*informix*
initialization starting.txt /*initialization*
input() eval.txt /*input()*
inputdialog() eval.txt /*inputdialog()*
@ -6191,11 +6263,13 @@ local-function eval.txt /*local-function*
local-options options.txt /*local-options*
local-variable eval.txt /*local-variable*
local-variables eval.txt /*local-variables*
local_markfilelist pi_netrw.txt /*local_markfilelist*
locale mbyte.txt /*locale*
locale-name mbyte.txt /*locale-name*
localtime() eval.txt /*localtime()*
location-list quickfix.txt /*location-list*
location-list-window quickfix.txt /*location-list-window*
log10() eval.txt /*log10()*
long-lines version5.txt /*long-lines*
lowercase change.txt /*lowercase*
lpc.vim syntax.txt /*lpc.vim*
@ -6260,11 +6334,14 @@ mapmode-x map.txt /*mapmode-x*
mapping map.txt /*mapping*
mark motion.txt /*mark*
mark-motions motion.txt /*mark-motions*
markfilelist pi_netrw.txt /*markfilelist*
masm.vim syntax.txt /*masm.vim*
match() eval.txt /*match()*
match-highlight pattern.txt /*match-highlight*
match-parens tips.txt /*match-parens*
matchadd() eval.txt /*matchadd()*
matcharg() eval.txt /*matcharg()*
matchdelete() eval.txt /*matchdelete()*
matchend() eval.txt /*matchend()*
matchit-install usr_05.txt /*matchit-install*
matchlist() eval.txt /*matchlist()*
@ -6355,7 +6432,7 @@ multilang-messages mlang.txt /*multilang-messages*
multilang-scripts mlang.txt /*multilang-scripts*
myfiletypefile syntax.txt /*myfiletypefile*
myscriptsfile syntax.txt /*myscriptsfile*
mysql sql.txt /*mysql*
mysql ft_sql.txt /*mysql*
mysyntaxfile syntax.txt /*mysyntaxfile*
mysyntaxfile-add syntax.txt /*mysyntaxfile-add*
mysyntaxfile-replace syntax.txt /*mysyntaxfile-replace*
@ -6376,14 +6453,17 @@ nb-commands netbeans.txt /*nb-commands*
nb-events netbeans.txt /*nb-events*
nb-functions netbeans.txt /*nb-functions*
nb-messages netbeans.txt /*nb-messages*
nb-protocol_errors netbeans.txt /*nb-protocol_errors*
nb-special netbeans.txt /*nb-special*
nb-terms netbeans.txt /*nb-terms*
ncf.vim syntax.txt /*ncf.vim*
netbeans netbeans.txt /*netbeans*
netbeans-commands netbeans.txt /*netbeans-commands*
netbeans-configure netbeans.txt /*netbeans-configure*
netbeans-debugging netbeans.txt /*netbeans-debugging*
netbeans-download netbeans.txt /*netbeans-download*
netbeans-integration netbeans.txt /*netbeans-integration*
netbeans-intro netbeans.txt /*netbeans-intro*
netbeans-key netbeans.txt /*netbeans-key*
netbeans-keybindings netbeans.txt /*netbeans-keybindings*
netbeans-messages netbeans.txt /*netbeans-messages*
netbeans-preparation netbeans.txt /*netbeans-preparation*
@ -6408,17 +6488,20 @@ netrw-bookmark pi_netrw.txt /*netrw-bookmark*
netrw-bookmarks pi_netrw.txt /*netrw-bookmarks*
netrw-browse pi_netrw.txt /*netrw-browse*
netrw-browse-cmds pi_netrw.txt /*netrw-browse-cmds*
netrw-browse-intro pi_netrw.txt /*netrw-browse-intro*
netrw-browse-var pi_netrw.txt /*netrw-browse-var*
netrw-browse-maps pi_netrw.txt /*netrw-browse-maps*
netrw-browser pi_netrw.txt /*netrw-browser*
netrw-browser-options pi_netrw.txt /*netrw-browser-options*
netrw-browser-var pi_netrw.txt /*netrw-browser-var*
netrw-browsing pi_netrw.txt /*netrw-browsing*
netrw-c pi_netrw.txt /*netrw-c*
netrw-cadaver pi_netrw.txt /*netrw-cadaver*
netrw-chgup pi_netrw.txt /*netrw-chgup*
netrw-clean pi_netrw.txt /*netrw-clean*
netrw-contents pi_netrw.txt /*netrw-contents*
netrw-cr pi_netrw.txt /*netrw-cr*
netrw-credits pi_netrw.txt /*netrw-credits*
netrw-ctrl-h pi_netrw.txt /*netrw-ctrl-h*
netrw-ctrl-l pi_netrw.txt /*netrw-ctrl-l*
netrw-ctrl_h pi_netrw.txt /*netrw-ctrl_h*
netrw-ctrl_l pi_netrw.txt /*netrw-ctrl_l*
netrw-curdir pi_netrw.txt /*netrw-curdir*
netrw-d pi_netrw.txt /*netrw-d*
@ -6437,23 +6520,40 @@ netrw-file pi_netrw.txt /*netrw-file*
netrw-fixup pi_netrw.txt /*netrw-fixup*
netrw-ftp pi_netrw.txt /*netrw-ftp*
netrw-gb pi_netrw.txt /*netrw-gb*
netrw-gh pi_netrw.txt /*netrw-gh*
netrw-gx pi_netrw.txt /*netrw-gx*
netrw-handler pi_netrw.txt /*netrw-handler*
netrw-help pi_netrw.txt /*netrw-help*
netrw-hexplore pi_netrw.txt /*netrw-hexplore*
netrw-hide pi_netrw.txt /*netrw-hide*
netrw-hiding pi_netrw.txt /*netrw-hiding*
netrw-history pi_netrw.txt /*netrw-history*
netrw-horiz pi_netrw.txt /*netrw-horiz*
netrw-i pi_netrw.txt /*netrw-i*
netrw-incompatible pi_netrw.txt /*netrw-incompatible*
netrw-intro-browse pi_netrw.txt /*netrw-intro-browse*
netrw-list pi_netrw.txt /*netrw-list*
netrw-listbookmark pi_netrw.txt /*netrw-listbookmark*
netrw-listhack pi_netrw.txt /*netrw-listhack*
netrw-login pi_netrw.txt /*netrw-login*
netrw-maps pi_netrw.txt /*netrw-maps*
netrw-mT pi_netrw.txt /*netrw-mT*
netrw-mb pi_netrw.txt /*netrw-mb*
netrw-mc pi_netrw.txt /*netrw-mc*
netrw-md pi_netrw.txt /*netrw-md*
netrw-me pi_netrw.txt /*netrw-me*
netrw-mf pi_netrw.txt /*netrw-mf*
netrw-mg pi_netrw.txt /*netrw-mg*
netrw-mh pi_netrw.txt /*netrw-mh*
netrw-ml_get pi_netrw.txt /*netrw-ml_get*
netrw-mm pi_netrw.txt /*netrw-mm*
netrw-move pi_netrw.txt /*netrw-move*
netrw-mp pi_netrw.txt /*netrw-mp*
netrw-mr pi_netrw.txt /*netrw-mr*
netrw-ms pi_netrw.txt /*netrw-ms*
netrw-mt pi_netrw.txt /*netrw-mt*
netrw-mu pi_netrw.txt /*netrw-mu*
netrw-mx pi_netrw.txt /*netrw-mx*
netrw-mz pi_netrw.txt /*netrw-mz*
netrw-netrc pi_netrw.txt /*netrw-netrc*
netrw-nexplore pi_netrw.txt /*netrw-nexplore*
netrw-nread pi_netrw.txt /*netrw-nread*
@ -6462,6 +6562,8 @@ netrw-o pi_netrw.txt /*netrw-o*
netrw-options pi_netrw.txt /*netrw-options*
netrw-p pi_netrw.txt /*netrw-p*
netrw-p1 pi_netrw.txt /*netrw-p1*
netrw-p10 pi_netrw.txt /*netrw-p10*
netrw-p11 pi_netrw.txt /*netrw-p11*
netrw-p2 pi_netrw.txt /*netrw-p2*
netrw-p3 pi_netrw.txt /*netrw-p3*
netrw-p4 pi_netrw.txt /*netrw-p4*
@ -6481,18 +6583,27 @@ netrw-prvwin pi_netrw.txt /*netrw-prvwin*
netrw-pscp pi_netrw.txt /*netrw-pscp*
netrw-psftp pi_netrw.txt /*netrw-psftp*
netrw-putty pi_netrw.txt /*netrw-putty*
netrw-q pi_netrw.txt /*netrw-q*
netrw-qb pi_netrw.txt /*netrw-qb*
netrw-qf pi_netrw.txt /*netrw-qf*
netrw-quickcom pi_netrw.txt /*netrw-quickcom*
netrw-quickcoms pi_netrw.txt /*netrw-quickcoms*
netrw-quickhelp pi_netrw.txt /*netrw-quickhelp*
netrw-quickmap pi_netrw.txt /*netrw-quickmap*
netrw-quickmaps pi_netrw.txt /*netrw-quickmaps*
netrw-r pi_netrw.txt /*netrw-r*
netrw-read pi_netrw.txt /*netrw-read*
netrw-ref pi_netrw.txt /*netrw-ref*
netrw-rename pi_netrw.txt /*netrw-rename*
netrw-reverse pi_netrw.txt /*netrw-reverse*
netrw-rexplore pi_netrw.txt /*netrw-rexplore*
netrw-s pi_netrw.txt /*netrw-s*
netrw-settings pi_netrw.txt /*netrw-settings*
netrw-sexplore pi_netrw.txt /*netrw-sexplore*
netrw-sort pi_netrw.txt /*netrw-sort*
netrw-sortsequence pi_netrw.txt /*netrw-sortsequence*
netrw-source pi_netrw.txt /*netrw-source*
netrw-ssh-hack pi_netrw.txt /*netrw-ssh-hack*
netrw-star pi_netrw.txt /*netrw-star*
netrw-starpat pi_netrw.txt /*netrw-starpat*
netrw-starstar pi_netrw.txt /*netrw-starstar*
netrw-starstarpat pi_netrw.txt /*netrw-starstarpat*
@ -6622,17 +6733,19 @@ ole-normal if_ole.txt /*ole-normal*
ole-registration if_ole.txt /*ole-registration*
ole-sendkeys if_ole.txt /*ole-sendkeys*
ole-setforeground if_ole.txt /*ole-setforeground*
omni-sql-completion sql.txt /*omni-sql-completion*
omap-info map.txt /*omap-info*
omni-sql-completion ft_sql.txt /*omni-sql-completion*
online-help various.txt /*online-help*
opening-window windows.txt /*opening-window*
operator motion.txt /*operator*
operator-variable eval.txt /*operator-variable*
option-backslash options.txt /*option-backslash*
option-list quickref.txt /*option-list*
option-summary options.txt /*option-summary*
options options.txt /*options*
options-changed version5.txt /*options-changed*
options.txt options.txt /*options.txt*
oracle sql.txt /*oracle*
oracle ft_sql.txt /*oracle*
os2 os_os2.txt /*os2*
os2ansi os_os2.txt /*os2ansi*
os390 os_390.txt /*os390*
@ -6711,7 +6824,7 @@ pi_tar.txt pi_tar.txt /*pi_tar.txt*
pi_vimball.txt pi_vimball.txt /*pi_vimball.txt*
pi_zip.txt pi_zip.txt /*pi_zip.txt*
plaintex.vim syntax.txt /*plaintex.vim*
plsql sql.txt /*plsql*
plsql ft_sql.txt /*plsql*
plugin usr_05.txt /*plugin*
plugin-details filetype.txt /*plugin-details*
plugin-filetype usr_41.txt /*plugin-filetype*
@ -6728,13 +6841,14 @@ ports-6 version6.txt /*ports-6*
posix vi_diff.txt /*posix*
posix-compliance vi_diff.txt /*posix-compliance*
posix-screen-size vi_diff.txt /*posix-screen-size*
postgres sql.txt /*postgres*
postgres ft_sql.txt /*postgres*
postscr.vim syntax.txt /*postscr.vim*
postscript-cjk-printing print.txt /*postscript-cjk-printing*
postscript-print-encoding print.txt /*postscript-print-encoding*
postscript-print-trouble print.txt /*postscript-print-trouble*
postscript-print-util print.txt /*postscript-print-util*
postscript-printing print.txt /*postscript-printing*
pow() eval.txt /*pow()*
ppwiz.vim syntax.txt /*ppwiz.vim*
press-enter message.txt /*press-enter*
press-return message.txt /*press-return*
@ -6745,6 +6859,18 @@ print-intro print.txt /*print-intro*
print-options print.txt /*print-options*
print.txt print.txt /*print.txt*
printf() eval.txt /*printf()*
printf-% eval.txt /*printf-%*
printf-E eval.txt /*printf-E*
printf-G eval.txt /*printf-G*
printf-X eval.txt /*printf-X*
printf-c eval.txt /*printf-c*
printf-d eval.txt /*printf-d*
printf-e eval.txt /*printf-e*
printf-f eval.txt /*printf-f*
printf-g eval.txt /*printf-g*
printf-o eval.txt /*printf-o*
printf-s eval.txt /*printf-s*
printf-x eval.txt /*printf-x*
printing print.txt /*printing*
printing-formfeed print.txt /*printing-formfeed*
profile repeat.txt /*profile*
@ -6752,7 +6878,7 @@ profiling repeat.txt /*profiling*
profiling-variable eval.txt /*profiling-variable*
progname-variable eval.txt /*progname-variable*
progress.vim syntax.txt /*progress.vim*
psql sql.txt /*psql*
psql ft_sql.txt /*psql*
ptcap.vim syntax.txt /*ptcap.vim*
pterm-mouse options.txt /*pterm-mouse*
pumvisible() eval.txt /*pumvisible()*
@ -6790,6 +6916,7 @@ quickfix-directory-stack quickfix.txt /*quickfix-directory-stack*
quickfix-error-lists quickfix.txt /*quickfix-error-lists*
quickfix-gcc quickfix.txt /*quickfix-gcc*
quickfix-manx quickfix.txt /*quickfix-manx*
quickfix-perl quickfix.txt /*quickfix-perl*
quickfix-valid quickfix.txt /*quickfix-valid*
quickfix-window quickfix.txt /*quickfix-window*
quickfix.txt quickfix.txt /*quickfix.txt*
@ -6901,6 +7028,7 @@ riscos-remote os_risc.txt /*riscos-remote*
riscos-shell os_risc.txt /*riscos-shell*
riscos-temp-files os_risc.txt /*riscos-temp-files*
rot13 change.txt /*rot13*
round() eval.txt /*round()*
rsync pi_netrw.txt /*rsync*
ruby if_ruby.txt /*ruby*
ruby-buffer if_ruby.txt /*ruby-buffer*
@ -6943,6 +7071,7 @@ s/\r change.txt /*s\/\\r*
s/\t change.txt /*s\/\\t*
s/\u change.txt /*s\/\\u*
s/\~ change.txt /*s\/\\~*
s:netrw_passwd pi_netrw.txt /*s:netrw_passwd*
s:var eval.txt /*s:var*
s<CR> change.txt /*s<CR>*
sandbox eval.txt /*sandbox*
@ -6980,6 +7109,7 @@ search-pattern pattern.txt /*search-pattern*
search-range pattern.txt /*search-range*
search-replace change.txt /*search-replace*
searchdecl() eval.txt /*searchdecl()*
searchforward-variable eval.txt /*searchforward-variable*
searchpair() eval.txt /*searchpair()*
searchpairpos() eval.txt /*searchpairpos()*
searchpos() eval.txt /*searchpos()*
@ -7000,6 +7130,7 @@ setbufvar() eval.txt /*setbufvar()*
setcmdpos() eval.txt /*setcmdpos()*
setline() eval.txt /*setline()*
setloclist() eval.txt /*setloclist()*
setmatches() eval.txt /*setmatches()*
setpos() eval.txt /*setpos()*
setqflist() eval.txt /*setqflist()*
setreg() eval.txt /*setreg()*
@ -7026,6 +7157,7 @@ signs sign.txt /*signs*
simple-change change.txt /*simple-change*
simplify() eval.txt /*simplify()*
simulated-command vi_diff.txt /*simulated-command*
sin() eval.txt /*sin()*
single-repeat repeat.txt /*single-repeat*
skeleton autocmd.txt /*skeleton*
slow-fast-terminal term.txt /*slow-fast-terminal*
@ -7035,6 +7167,7 @@ sniff if_sniff.txt /*sniff*
sniff-commands if_sniff.txt /*sniff-commands*
sniff-compiling if_sniff.txt /*sniff-compiling*
sniff-intro if_sniff.txt /*sniff-intro*
socket-interface netbeans.txt /*socket-interface*
sort() eval.txt /*sort()*
sorting change.txt /*sorting*
soundfold() eval.txt /*soundfold()*
@ -7147,34 +7280,34 @@ sponsor-faq sponsor.txt /*sponsor-faq*
sponsor.txt sponsor.txt /*sponsor.txt*
spoon os_unix.txt /*spoon*
spup.vim syntax.txt /*spup.vim*
sql-adding-dialects sql.txt /*sql-adding-dialects*
sql-completion sql.txt /*sql-completion*
sql-completion-columns sql.txt /*sql-completion-columns*
sql-completion-customization sql.txt /*sql-completion-customization*
sql-completion-dynamic sql.txt /*sql-completion-dynamic*
sql-completion-filetypes sql.txt /*sql-completion-filetypes*
sql-completion-maps sql.txt /*sql-completion-maps*
sql-completion-procedures sql.txt /*sql-completion-procedures*
sql-completion-static sql.txt /*sql-completion-static*
sql-completion-tables sql.txt /*sql-completion-tables*
sql-completion-tutorial sql.txt /*sql-completion-tutorial*
sql-completion-views sql.txt /*sql-completion-views*
sql-dialects sql.txt /*sql-dialects*
sql-macros sql.txt /*sql-macros*
sql-matchit sql.txt /*sql-matchit*
sql-navigation sql.txt /*sql-navigation*
sql-object-motions sql.txt /*sql-object-motions*
sql-predefined-objects sql.txt /*sql-predefined-objects*
sql-type-default sql.txt /*sql-type-default*
sql-types sql.txt /*sql-types*
sql.txt sql.txt /*sql.txt*
sql-adding-dialects ft_sql.txt /*sql-adding-dialects*
sql-completion ft_sql.txt /*sql-completion*
sql-completion-columns ft_sql.txt /*sql-completion-columns*
sql-completion-customization ft_sql.txt /*sql-completion-customization*
sql-completion-dynamic ft_sql.txt /*sql-completion-dynamic*
sql-completion-filetypes ft_sql.txt /*sql-completion-filetypes*
sql-completion-maps ft_sql.txt /*sql-completion-maps*
sql-completion-procedures ft_sql.txt /*sql-completion-procedures*
sql-completion-static ft_sql.txt /*sql-completion-static*
sql-completion-tables ft_sql.txt /*sql-completion-tables*
sql-completion-tutorial ft_sql.txt /*sql-completion-tutorial*
sql-completion-views ft_sql.txt /*sql-completion-views*
sql-dialects ft_sql.txt /*sql-dialects*
sql-macros ft_sql.txt /*sql-macros*
sql-matchit ft_sql.txt /*sql-matchit*
sql-navigation ft_sql.txt /*sql-navigation*
sql-object-motions ft_sql.txt /*sql-object-motions*
sql-predefined-objects ft_sql.txt /*sql-predefined-objects*
sql-type-default ft_sql.txt /*sql-type-default*
sql-types ft_sql.txt /*sql-types*
sql.vim syntax.txt /*sql.vim*
sqlanywhere sql.txt /*sqlanywhere*
sqlanywhere ft_sql.txt /*sqlanywhere*
sqlanywhere.vim syntax.txt /*sqlanywhere.vim*
sqlinformix.vim syntax.txt /*sqlinformix.vim*
sqlj sql.txt /*sqlj*
sqlserver sql.txt /*sqlserver*
sqlsettype sql.txt /*sqlsettype*
sqlj ft_sql.txt /*sqlj*
sqlserver ft_sql.txt /*sqlserver*
sqlsettype ft_sql.txt /*sqlsettype*
sqrt() eval.txt /*sqrt()*
sscanf eval.txt /*sscanf*
standard-plugin usr_05.txt /*standard-plugin*
standard-plugin-list help.txt /*standard-plugin-list*
@ -7192,6 +7325,8 @@ startup-terminal term.txt /*startup-terminal*
static-tag tagsrch.txt /*static-tag*
status-line windows.txt /*status-line*
statusmsg-variable eval.txt /*statusmsg-variable*
sticky-type-checking eval.txt /*sticky-type-checking*
str2float() eval.txt /*str2float()*
str2nr() eval.txt /*str2nr()*
strcasestr() eval.txt /*strcasestr()*
strchr() eval.txt /*strchr()*
@ -7229,7 +7364,7 @@ swapchoice-variable eval.txt /*swapchoice-variable*
swapcommand-variable eval.txt /*swapcommand-variable*
swapfile-changed version4.txt /*swapfile-changed*
swapname-variable eval.txt /*swapname-variable*
sybase sql.txt /*sybase*
sybase ft_sql.txt /*sybase*
syn-sync-grouphere syntax.txt /*syn-sync-grouphere*
syn-sync-groupthere syntax.txt /*syn-sync-groupthere*
syn-sync-linecont syntax.txt /*syn-sync-linecont*
@ -7244,6 +7379,7 @@ synload-3 syntax.txt /*synload-3*
synload-4 syntax.txt /*synload-4*
synload-5 syntax.txt /*synload-5*
synload-6 syntax.txt /*synload-6*
synstack() eval.txt /*synstack()*
syntax syntax.txt /*syntax*
syntax-highlighting syntax.txt /*syntax-highlighting*
syntax-loading syntax.txt /*syntax-loading*
@ -7251,6 +7387,7 @@ syntax-printing usr_06.txt /*syntax-printing*
syntax.txt syntax.txt /*syntax.txt*
syntax_cmd syntax.txt /*syntax_cmd*
sys-file-list help.txt /*sys-file-list*
sysmouse term.txt /*sysmouse*
system() eval.txt /*system()*
system-vimrc starting.txt /*system-vimrc*
s~ change.txt /*s~*
@ -7523,6 +7660,7 @@ tex-error syntax.txt /*tex-error*
tex-folding syntax.txt /*tex-folding*
tex-math syntax.txt /*tex-math*
tex-morecommands syntax.txt /*tex-morecommands*
tex-nospell syntax.txt /*tex-nospell*
tex-package syntax.txt /*tex-package*
tex-runon syntax.txt /*tex-runon*
tex-slow syntax.txt /*tex-slow*
@ -7551,6 +7689,7 @@ toolbar-icon gui.txt /*toolbar-icon*
toupper() eval.txt /*toupper()*
tr() eval.txt /*tr()*
trojan-horse starting.txt /*trojan-horse*
trunc() eval.txt /*trunc()*
try-conditionals eval.txt /*try-conditionals*
try-echoerr eval.txt /*try-echoerr*
try-finally eval.txt /*try-finally*
@ -7668,11 +7807,13 @@ v:lnum eval.txt /*v:lnum*
v:mouse_col eval.txt /*v:mouse_col*
v:mouse_lnum eval.txt /*v:mouse_lnum*
v:mouse_win eval.txt /*v:mouse_win*
v:operator eval.txt /*v:operator*
v:prevcount eval.txt /*v:prevcount*
v:profiling eval.txt /*v:profiling*
v:progname eval.txt /*v:progname*
v:register eval.txt /*v:register*
v:scrollstart eval.txt /*v:scrollstart*
v:searchforward eval.txt /*v:searchforward*
v:servername eval.txt /*v:servername*
v:shell_error eval.txt /*v:shell_error*
v:statusmsg eval.txt /*v:statusmsg*
@ -7812,6 +7953,7 @@ version-6.2 version6.txt /*version-6.2*
version-6.3 version6.txt /*version-6.3*
version-6.4 version6.txt /*version-6.4*
version-7.1 version7.txt /*version-7.1*
version-7.2 version7.txt /*version-7.2*
version-variable eval.txt /*version-variable*
version4.txt version4.txt /*version4.txt*
version5.txt version5.txt /*version5.txt*
@ -7843,6 +7985,7 @@ vimball pi_vimball.txt /*vimball*
vimball-contents pi_vimball.txt /*vimball-contents*
vimball-extract pi_vimball.txt /*vimball-extract*
vimball-history pi_vimball.txt /*vimball-history*
vimball-intro pi_vimball.txt /*vimball-intro*
vimball-manual pi_vimball.txt /*vimball-manual*
vimdev intro.txt /*vimdev*
vimdiff diff.txt /*vimdiff*
@ -8074,7 +8217,6 @@ zip-extension pi_zip.txt /*zip-extension*
zip-history pi_zip.txt /*zip-history*
zip-manual pi_zip.txt /*zip-manual*
zip-usage pi_zip.txt /*zip-usage*
zip_shq pi_zip.txt /*zip_shq*
zj fold.txt /*zj*
zk fold.txt /*zk*
zl scroll.txt /*zl*

View file

@ -1,4 +1,4 @@
*term.txt* For Vim version 7.1. Last change: 2007 Feb 28
*term.txt* For Vim version 7.2a. Last change: 2008 Jun 21
VIM REFERENCE MANUAL by Bram Moolenaar
@ -139,7 +139,7 @@ want in either case you could use these settings: >
:set notimeout " don't timeout on mappings
:set ttimeout " do timeout on terminal key codes
:set timeoutlen=100 " timeout after 100 msec
This requires the key-codes to be sent within 100msec in order to recognize
This requires the key-codes to be sent within 100 msec in order to recognize
them as a cursor key. When you type you normally are not that fast, so they
are recognized as individual typed commands, even though Vim receives the same
sequence of bytes.
@ -583,8 +583,9 @@ Don't forget to enable the mouse with this commands: >
:set mouse=a
Otherwise Vim won't recognize the mouse in all modes (See 'mouse').
Currently the mouse is supported for Unix in an xterm window, in a Linux
console (with GPM |gpm-mouse|), for MS-DOS and in a Windows console.
Currently the mouse is supported for Unix in an xterm window, in a *BSD
console with |sysmouse|, in a Linux console (with GPM |gpm-mouse|), for
MS-DOS and in a Windows console.
Mouse clicks can be used to position the cursor, select an area and paste.
These characters in the 'mouse' option tell in which situations the mouse will
@ -788,6 +789,10 @@ In Insert mode, when a selection is started, Vim goes into Normal mode
temporarily. When Visual or Select mode ends, it returns to Insert mode.
This is like using CTRL-O in Insert mode. Select mode is used when the
'selectmode' option contains "mouse".
*sysmouse*
The sysmouse is only supported when the |+mouse_sysmouse| feature was enabled
at compile time. The sysmouse driver (*BSD console) does not support keyboard
modifiers.
*drag-status-line*
When working with several windows, the size of the windows can be changed by

View file

@ -1,4 +1,4 @@
*tips.txt* For Vim version 7.1. Last change: 2006 Jul 24
*tips.txt* For Vim version 7.2a. Last change: 2006 Jul 24
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*undo.txt* For Vim version 7.1. Last change: 2006 Apr 30
*undo.txt* For Vim version 7.2a. Last change: 2006 Apr 30
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_10.txt* For Vim version 7.1. Last change: 2006 Nov 05
*usr_10.txt* For Vim version 7.2a. Last change: 2006 Nov 05
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_21.txt* For Vim version 7.1. Last change: 2007 May 01
*usr_21.txt* For Vim version 7.2a. Last change: 2007 May 01
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_24.txt* For Vim version 7.1. Last change: 2006 Jul 23
*usr_24.txt* For Vim version 7.2a. Last change: 2006 Jul 23
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_25.txt* For Vim version 7.1. Last change: 2007 May 11
*usr_25.txt* For Vim version 7.2a. Last change: 2007 May 11
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_26.txt* For Vim version 7.1. Last change: 2006 Apr 24
*usr_26.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_29.txt* For Vim version 7.1. Last change: 2006 Apr 24
*usr_29.txt* For Vim version 7.2a. Last change: 2007 Nov 10
VIM USER MANUAL - by Bram Moolenaar
@ -384,7 +384,7 @@ pairs of {} at the same level. "]}" jumps to the end.
When writing C++ or Java, the outer {} block is for the class. The next level
of {} is for a method. When somewhere inside a class use "[m" to find the
previous start of a method. "]m" finds the next end of a method.
previous start of a method. "]m" finds the next start of a method.
Additionally, "[]" moves backward to the end of a function and "]]" moves
forward to the start of the next function. The end of a function is defined
@ -497,7 +497,7 @@ use: >
:set path+=/usr/local/X11
When there are many subdirectories, you an use the "*" wildcard. Example: >
When there are many subdirectories, you can use the "*" wildcard. Example: >
:set path+=/usr/*/include

View file

@ -1,4 +1,4 @@
*usr_31.txt* For Vim version 7.1. Last change: 2007 May 08
*usr_31.txt* For Vim version 7.2a. Last change: 2007 May 08
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_32.txt* For Vim version 7.1. Last change: 2006 Apr 30
*usr_32.txt* For Vim version 7.2a. Last change: 2006 Apr 30
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*usr_toc.txt* For Vim version 7.1. Last change: 2006 Apr 24
*usr_toc.txt* For Vim version 7.2a. Last change: 2006 Apr 24
VIM USER MANUAL - by Bram Moolenaar

View file

@ -1,4 +1,4 @@
*version6.txt* For Vim version 7.1. Last change: 2007 May 11
*version6.txt* For Vim version 7.2a. Last change: 2007 May 11
VIM REFERENCE MANUAL by Bram Moolenaar

View file

@ -6,7 +6,7 @@ NAME
vimtutor - the Vim tutor
SYNOPSIS
vimtutor [language]
vimtutor [-g] [language]
DESCRIPTION
Vimtutor starts the Vim tutor. It copies the tutor file first, so that
@ -15,6 +15,10 @@ DESCRIPTION
The Vimtutor is useful for people that want to learn their first Vim
commands.
The optional argument -g starts vimtutor with gvim rather than vim, if
the GUI version of vim is available, or falls back to Vim if gvim is
not found.
The optional [language] argument is the two-letter name of a language,
like "it" or "es". If the [language] argument is missing, the language
of the current locale will be used. If a tutor in this language is

View file

@ -1,7 +1,7 @@
" Vim filetype plugin file
" Language: C
" Maintainer: Bram Moolenaar <Bram@vim.org>
" Last Change: 2005 Sep 01
" Last Change: 2007 Sep 25
" Only do this when not done yet for this buffer
if exists("b:did_ftplugin")
@ -34,6 +34,11 @@ if has("vms")
setlocal iskeyword+=$
endif
" When the matchit plugin is loaded, this makes the % command skip parens and
" braces in comments.
let b:match_words = &matchpairs
let b:match_skip = 's:comment\|string\|character'
" Win32 can filter files in the browse dialog
if has("gui_win32") && !exists("b:browsefilter")
if &ft == "cpp"

View file

@ -1,7 +1,7 @@
" Vim filetype plugin file
" Language: generic Changelog file
" Maintainer: Nikolai Weibull <now@bitwi.se>
" Latest Revision: 2007-05-06
" Latest Revision: 2007-05-21
" Variables:
" g:changelog_timeformat (deprecated: use g:changelog_dateformat instead) -
" description: the timeformat used in ChangeLog entries.
@ -131,7 +131,7 @@ if &filetype == 'changelog'
" Regular expression used to find the end of a date entry
if !exists('g:changelog_date_end_entry_search')
let g:changelog_date_entry_search = '^\s*$'
let g:changelog_date_end_entry_search = '^\s*$'
endif

View file

@ -0,0 +1,18 @@
" Vim filetype plugin file
" Maintainer: Nikolai Weibull <now@bitwi.se>
" Latest Revision: 2007-09-18
if exists("b:did_ftplugin")
finish
endif
let b:did_ftplugin = 1
let s:cpo_save = &cpo
set cpo&vim
let b:undo_ftplugin = "setl com< cms< fo<"
setlocal comments=:# commentstring=#\ %s formatoptions-=t formatoptions+=croql
let s:cpo_save = &cpo
set cpo&vim

View file

@ -1,7 +1,7 @@
" Vim filetype plugin file.
" Language: Lua 4.0+
" Maintainer: Max Ischenko <mfi@ukr.net>
" Last Change: 2001 Sep 17
" Last Change: 2008 Mar 25
" Only do this when not done yet for this buffer
if exists("b:did_ftplugin")
@ -16,7 +16,7 @@ let b:did_ftplugin = 1
setlocal fo-=t fo+=croql
setlocal com=:--
setlocal cms="--%s"
setlocal cms=--%s
setlocal suffixesadd=.lua

89
runtime/ftplugin/pdf.vim Normal file
View file

@ -0,0 +1,89 @@
" Vim filetype plugin file
" Language: PDF
" Maintainer: Tim Pope <vimNOSPAM@tpope.info>
" Last Change: 2007 Dec 16
if exists("b:did_ftplugin")
finish
endif
let b:did_ftplugin = 1
setlocal commentstring=%%s
setlocal comments=:%
let b:undo_ftplugin = "setlocal cms< com< | unlet! b:match_words"
if exists("g:loaded_matchit")
let b:match_words = '\<\%(\d\+\s\+\d\+\s\+\)obj\>:\<endobj\>,\<stream$:\<endstream\>,\<xref\>:\<trailer\>,<<:>>'
endif
if exists("g:no_plugin_maps") || exists("g:no_pdf_maps") || v:version < 700
finish
endif
if !exists("b:pdf_tagstack")
let b:pdf_tagstack = []
endif
let b:undo_ftplugin .= " | silent! nunmap <buffer> <C-]> | silent! nunmap <buffer> <C-T>"
nnoremap <silent><buffer> <C-]> :call <SID>Tag()<CR>
" Inline, so the error from an empty tag stack will be simple.
nnoremap <silent><buffer> <C-T> :if len(b:pdf_tagstack) > 0 <Bar> call setpos('.',remove(b:pdf_tagstack, -1)) <Bar> else <Bar> exe "norm! \<Lt>C-T>" <Bar> endif<CR>
function! s:Tag()
call add(b:pdf_tagstack,getpos('.'))
if getline('.') =~ '^\d\+$' && getline(line('.')-1) == 'startxref'
return s:dodigits(getline('.'))
elseif getline('.') =~ '/Prev\s\+\d\+\>\%(\s\+\d\)\@!' && expand("<cword>") =~ '^\d\+$'
return s:dodigits(expand("<cword>"))
elseif getline('.') =~ '^\d\{10\} \d\{5\} '
return s:dodigits(matchstr(getline('.'),'^\d\+'))
else
let line = getline(".")
let lastend = 0
let pat = '\<\d\+\s\+\d\+\s\+R\>'
while lastend >= 0
let beg = match(line,'\C'.pat,lastend)
let end = matchend(line,'\C'.pat,lastend)
if beg < col(".") && end >= col(".")
return s:doobject(matchstr(line,'\C'.pat,lastend))
endif
let lastend = end
endwhile
return s:notag()
endif
endfunction
function! s:doobject(string)
let first = matchstr(a:string,'^\s*\zs\d\+')
let second = matchstr(a:string,'^\s*\d\+\s\+\zs\d\+')
norm! m'
if first != '' && second != ''
let oldline = line('.')
let oldcol = col('.')
1
if !search('^\s*'.first.'\s\+'.second.'\s\+obj\>')
exe oldline
exe 'norm! '.oldcol.'|'
return s:notag()
endif
endif
endfunction
function! s:dodigits(digits)
let digits = 0 + substitute(a:digits,'^0*','','')
norm! m'
if digits <= 0
norm! 1go
else
" Go one character before the destination and advance. This method
" lands us after a newline rather than before, if that is our target.
exe "goto ".(digits)."|norm! 1 "
endif
endfunction
function! s:notag()
silent! call remove(b:pdf_tagstack,-1)
echohl ErrorMsg
echo "E426: tag not found"
echohl NONE
endfunction

View file

@ -1,7 +1,7 @@
" Vim filetype plugin file
" Language: Perl
" Maintainer: Dan Sharp <dwsharp at hotmail dot com>
" Last Change: 2005 Dec 16
" Last Change: 2007 Nov 30
" URL: http://mywebpage.netscape.com/sharppeople/vim/ftplugin
if exists("b:did_ftplugin") | finish | endif
@ -42,12 +42,16 @@ set isfname+=:
" Set this once, globally.
if !exists("perlpath")
if executable("perl")
try
if &shellxquote != '"'
let perlpath = system('perl -e "print join(q/,/,@INC)"')
else
let perlpath = system("perl -e 'print join(q/,/,@INC)'")
endif
let perlpath = substitute(perlpath,',.$',',,','')
catch /E145:/
let perlpath = ".,,"
endtry
else
" If we can't call perl to get its path, just default to using the
" current directory and the directory of the current file.

25
runtime/ftplugin/reva.vim Normal file
View file

@ -0,0 +1,25 @@
" Vim ftplugin file
" Language: Reva Forth
" Version: 7.1
" Last Change: 2008/01/11
" Maintainer: Ron Aaron <ron@ronware.org>
" URL: http://ronware.org/reva/
" Filetypes: *.rf *.frt
" NOTE: Forth allows any non-whitespace in a name, so you need to do:
" setlocal iskeyword=!,@,33-35,%,$,38-64,A-Z,91-96,a-z,123-126,128-255
"
" This goes with the syntax/reva.vim file.
" Only do this when not done yet for this buffer
if exists("b:did_ftplugin")
finish
endif
" Don't load another plugin for this buffer
let b:did_ftplugin = 1
setlocal sts=4 sw=4
setlocal com=s1:/*,mb:*,ex:*/,:\|,:\\
setlocal fo=tcrqol
setlocal matchpairs+=\::;
setlocal iskeyword=!,@,33-35,%,$,38-64,A-Z,91-96,a-z,123-126,128-255

View file

@ -227,4 +227,4 @@ endfunction
" differs on Windows. Email gsinclair@soyabean.com.au if you need help.
"
" vim: nowrap sw=2 sts=2 ts=8 ff=unix:
" vim: nowrap sw=2 sts=2 ts=8:

View file

@ -1,11 +1,11 @@
" =============================================================================
"
"
" Program: CMake - Cross-Platform Makefile Generator
" Module: $RCSfile$
" Language: VIM
" Date: $Date$
" Version: $Revision$
"
"
" =============================================================================
" Vim indent file
@ -65,8 +65,8 @@ fun! CMakeGetIndent(lnum)
\ ')\s*' .
\ '\(' . cmake_regex_comment . '\)\?$'
let cmake_indent_begin_regex = '^\s*\(IF\|MACRO\|FOREACH\|ELSE\|WHILE\)\s*('
let cmake_indent_end_regex = '^\s*\(ENDIF\|ENDFOREACH\|ENDMACRO\|ELSE\|ENDWHILE\)\s*('
let cmake_indent_begin_regex = '^\s*\(IF\|MACRO\|FOREACH\|ELSE\|ELSEIF\|WHILE\)\s*('
let cmake_indent_end_regex = '^\s*\(ENDIF\|ENDFOREACH\|ENDMACRO\|ELSE\|ELSEIF\|ENDWHILE\)\s*('
" Add
if previous_line =~? cmake_indent_comment_line " Handle comments

17
runtime/indent/dtrace.vim Normal file
View file

@ -0,0 +1,17 @@
" Vim indent file
" Language: D script as described in "Solaris Dynamic Tracing Guide",
" http://docs.sun.com/app/docs/doc/817-6223
" Last Change: 2008/03/20
" Version: 1.2
" Maintainer: Nicolas Weber <nicolasweber@gmx.de>
" Only load this indent file when no other was loaded.
if exists("b:did_indent")
finish
endif
let b:did_indent = 1
" Built-in C indenting works nicely for dtrace.
setlocal cindent
let b:undo_indent = "setl cin<"

View file

@ -370,4 +370,4 @@ endfunction
let &cpo = s:cpo_save
unlet s:cpo_save
" vim:set sw=2 sts=2 ts=8 noet ff=unix:
" vim:set sw=2 sts=2 ts=8 noet:

View file

@ -0,0 +1,68 @@
" Vim Keymap file for Croatian characters, classical variant, UTF-8 encoding
"
" Maintainer: Paul B. Mahol <onemda@gmail.com>
" Last Changed: 2007 Oct 14
scriptencoding UTF-8
let b:keymap_name = "croatian-UTF-8"
" Uncomment line below if you prefer short name
"let b:keymap_name = "hr-UTF-8"
loadkeymap
z y
Z Y
y z
Y Z
[ š
{ Š
] đ
} Đ
; č
: Č
' ć
" Ć
\ ž
| Ž
@ "
^ &
& /
* (
( )
) =
_ ?
+ *
= +
- '
æ [
ç ]
â {
î }
< ;
> :
/ -
? _
ö @
ñ \
÷ |
å
¬ <
® >
± ~
² ˇ
³ ^
´ ˘
µ °
· `
¹ ´
í §
Û ÷
Ü ¤
Ý ×
§ ß
ì ł
Ì Ł
° ˝
` ¸
½ ¸
­ ¨

View file

@ -0,0 +1,457 @@
" Menu Translations: Esperanto
" Maintainer: Dominique PELLE <dominique.pelle@free.fr>
" Last Change: 2008 Mar 01
"
" Quit when menu translations have already been done.
if exists("did_menu_trans")
finish
endif
let did_menu_trans = 1
scriptencoding utf-8
menutrans &Help &Helpo
menutrans &Overview<Tab><F1> &Enhavtabelo<Tab><F1>
menutrans &User\ Manual &Uzula\ manlibro
menutrans &How-to\ links &Kiel\ fari
menutrans &Find\.\.\. T&rovi\.\.\.
" -sep1-
menutrans &Credits &Dankoj
menutrans Co&pying &Permisilo
menutrans &Sponsor/Register &Subteni/Registriĝi
menutrans O&rphans &Orfoj
" -sep2-
menutrans &Version &Versio
menutrans &About Pri\ &Vim
let g:menutrans_help_dialog = "Tajpu komandon aŭ serĉendan vorton en la helparo.\n\nAldonu i_ por la komandoj de la enmeta reĝimo (ekz: i_CTRL-X)\nAldonu c_ por redakto de la komanda linio (ekz: c_<Del>)\nĈirkaŭi la opciojn per apostrofoj (ekz: 'shiftwidth')"
menutrans &File &Dosiero
menutrans &Open\.\.\.<Tab>:e &Malfermi\.\.\.<Tab>:e
menutrans Sp&lit-Open\.\.\.<Tab>:sp Malfermi\ &divide\.\.\.<Tab>:sp
menutrans Open\ Tab\.\.\.<Tab>:tabnew Malfermi\ &langeton\.\.\.<Tab>:tabnew
menutrans &New<Tab>:enew &Nova<Tab>:enew
menutrans &Close<Tab>:close &Fermi<Tab>:close
" -SEP1-
menutrans &Save<Tab>:w &Konservi<Tab>:w
menutrans Save\ &As\.\.\.<Tab>:sav Konservi\ ki&el\.\.\.<Tab>:sav
" -SEP2-
menutrans Split\ &Diff\ with\.\.\. Kom&pari\ divide\.\.\.
menutrans Split\ Patched\ &By\.\.\. &Testi\ flikaĵon\.\.\.
" -SEP3-
menutrans &Print &Presi
" -SEP4-
menutrans Sa&ve-Exit<Tab>:wqa Konservi\ kaj\ eli&ri<Tab>:wqa
menutrans E&xit<Tab>:qa &Eliri<Tab>:qa
menutrans &Edit &Redakti
menutrans &Undo<Tab>u &Malfari<Tab>u
menutrans &Redo<Tab>^R Re&fari<Tab>^R
menutrans Rep&eat<Tab>\. R&ipeti<Tab>\.
" -SEP1-
menutrans Cu&t<Tab>"+x &Tondi<Tab>"+x
menutrans &Copy<Tab>"+y &Kopii<Tab>"+y
menutrans &Paste<Tab>"+gP Al&glui<Tab>"+gP
menutrans Put\ &Before<Tab>[p Enmeti\ &antaŭ<Tab>[p
menutrans Put\ &After<Tab>]p Enmeti\ ma&lantaŭ<Tab>]p
menutrans &Delete<Tab>x &Forviŝi<Tab>x
menutrans &Select\ All<Tab>ggVG A&partigi\ ĉion<Tab>ggVG
" -SEP2-
menutrans &Find\.\.\. &Trovi\.\.\.
menutrans Find\ and\ Rep&lace\.\.\. Trovi\ kaj\ a&nstataŭigi\.\.\.
menutrans &Find<Tab>/ &Trovi<Tab>/
menutrans Find\ and\ Rep&lace<Tab>:%s Trovi\ kaj\ ansta&taŭigi<Tab>:%s
menutrans Find\ and\ Rep&lace<Tab>:s Trovi\ kaj\ ansta&taŭigi<Tab>:s
" -SEP3-
menutrans Settings\ &Window Fenestro\ de\ a&gordoj
menutrans Startup\ &Settings Agordoj\ de\ prav&aloroj
menutrans &Global\ Settings Mallo&kaj\ agordoj
menutrans Toggle\ Pattern\ &Highlight<Tab>:set\ hls! Baskuli\ emfazon\ de\ ŝa&blono<Tab>:set\ hls!
menutrans Toggle\ &Ignore-case<Tab>:set\ ic! Baskuli\ kongruon\ de\ uskle&co<Tab>:set\ ic!
menutrans Toggle\ &Showmatch<Tab>:set\ sm! Baskuli\ kongruon\ de\ kram&poj<Tab>:set\ sm!
menutrans &Context\ lines Linioj\ de\ &kunteksto
menutrans &Virtual\ Edit &Virtuala\ redakto
menutrans Never &Neniam
menutrans Block\ Selection &Bloka\ apartigo
menutrans Insert\ mode &Enmeta\ reĝimo
menutrans Block\ and\ Insert Blo&ko\ kaj\ enmeto
menutrans Always Ĉia&m
menutrans Toggle\ Insert\ &Mode<Tab>:set\ im! Baskuli\ &enmetan\ reĝimon<Tab>:set\ im!
menutrans Toggle\ Vi\ C&ompatible<Tab>:set\ cp! Baskuli\ kongruon\ kun\ &Vi<Tab>:set\ cp!
menutrans Search\ &Path\.\.\. &Serĉvojo\ de\ dosieroj\.\.\.
menutrans Ta&g\ Files\.\.\. Dosiero\ de\ etike&doj\.\.\.
" -SEP1-
menutrans Toggle\ &Toolbar Baskuli\ &ilobreton
menutrans Toggle\ &Bottom\ Scrollbar Baskuli\ su&ban\ rulumskalon
menutrans Toggle\ &Left\ Scrollbar Baskuli\ &maldekstran\ rulumskalon
menutrans Toggle\ &Right\ Scrollbar Baskuli\ &dekstran\ rulumskalon
let g:menutrans_path_dialog = "Tajpu la vojon de serĉo de dosieroj.\nDisigu la dosierujojn per komoj."
let g:menutrans_tags_dialog = "Tajpu la nomojn de dosieroj de etikedoj.\nDisigu la nomojn per komoj."
menutrans F&ile\ Settings A&gordoj\ de\ dosiero
menutrans Toggle\ Line\ &Numbering<Tab>:set\ nu! Baskuli\ &numerojn\ de\ linioj<Tab>:set\ nu!
menutrans Toggle\ &List\ Mode<Tab>:set\ list! Baskuli\ &listan\ reĝimon<Tab>:set\ list!
menutrans Toggle\ Line\ &Wrap<Tab>:set\ wrap! Baskuli\ linifal&don<Tab>:set\ wrap!
menutrans Toggle\ W&rap\ at\ word<Tab>:set\ lbr! Baskuli\ &vortofaldon<Tab>:set\ lbr!
menutrans Toggle\ &expand-tab<Tab>:set\ et! Baskuli\ ekspansio\ de\ &taboj<Tab>:set\ et!
menutrans Toggle\ &auto-indent<Tab>:set\ ai! Baskuli\ &aŭtokrommarĝenon<Tab>:set\ ai!
menutrans Toggle\ &C-indenting<Tab>:set\ cin! Baskuli\ &C-krommarĝenon<Tab>:set\ cin!
" -SEP2-
menutrans &Shiftwidth &Larĝo\ de\ krommarĝeno
menutrans Soft\ &Tabstop &Malm&olaj\ taboj
menutrans Te&xt\ Width\.\.\. Larĝo\ de\ te&ksto\.\.\.
menutrans &File\ Format\.\.\. &Formato\ de\ &dosiero\.\.\.
let g:menutrans_textwidth_dialog = "Tajpu la novan larĝon de teksto\n(0 por malŝalti formatigon)."
let g:menutrans_fileformat_dialog = "Elektu la formaton de la skribonta dosiero."
let g:menutrans_fileformat_choices = " &Unikso \n &Dos \n &Mak \n &Rezigni "
menutrans C&olor\ Scheme &Koloraro
menutrans &Keymap Klavo&mapo
menutrans None (nenio)
menutrans Select\ Fo&nt\.\.\. Elekti\ &tiparon\.\.\.
menutrans &Tools &Iloj
menutrans &Jump\ to\ this\ tag<Tab>g^] &Aliri\ al\ tiu\ etikedo<Tab>g^]
menutrans Jump\ &back<Tab>^T &Retroiri<Tab>^T
menutrans Build\ &Tags\ File Krei\ &etikedan\ dosieron
" -SEP1-
menutrans &Spelling &Literumilo
menutrans &Spell\ Check\ On Ŝal&ti\ literumilon
menutrans Spell\ Check\ &Off &Malŝalti\ literumilon
menutrans To\ &Next\ error<Tab>]s Al\ sek&vonta\ eraro<Tab>]s
menutrans To\ &Previous\ error<Tab>[s Al\ an&taŭa\ eraro<Tab>[s
menutrans Suggest\ &Corrections<Tab>z= &Sugesti\ korektojn<Tab>z=
menutrans &Repeat\ correction<Tab>:spellrepall R&ipeti\ korekton<Tab>:spellrepall
menutrans Set\ language\ to\ "en" Angla
menutrans Set\ language\ to\ "en_au" Angla\ (Aŭstralio)
menutrans Set\ language\ to\ "en_ca" Angla\ (Kanado)
menutrans Set\ language\ to\ "en_gb" Angla\ (Britio)
menutrans Set\ language\ to\ "en_nz" Angla\ (Novzelando)
menutrans Set\ language\ to\ "en_us" Angla\ (Usono)
menutrans &Find\ More\ Languages &Trovi\ pli\ da\ lingvoj
menutrans &Folding &Faldo
menutrans &Enable/Disable\ folds<Tab>zi &Baskuli\ faldojn<Tab>zi
menutrans &View\ Cursor\ Line<Tab>zv &Vidi\ linion\ de\ kursoro<Tab>zv
menutrans Vie&w\ Cursor\ Line\ only<Tab>zMzx Vidi\ nur\ &kursoran\ linion<Tab>zMzx
menutrans C&lose\ more\ folds<Tab>zm F&ermi\ pli\ da\ faldoj<Tab>zm
menutrans &Close\ all\ folds<Tab>zM Fermi\ ĉiu&jn\ faldojn<Tab>zM
menutrans O&pen\ more\ folds<Tab>zr &Malfermi\ pli\ da\ faldoj<Tab>zr
menutrans &Open\ all\ folds<Tab>zR Malfermi\ ĉiuj&n\ faldojn<Tab>zR
" -SEP1-
menutrans Fold\ Met&hod &Metodo\ de\ faldo
menutrans M&anual &Permana\ metodo
menutrans I&ndent &Krommarĝeno
menutrans E&xpression &Esprimo
menutrans S&yntax &Sintakso
menutrans &Diff &Komparo
menutrans Ma&rker Ma&rko
menutrans Create\ &Fold<Tab>zf &Krei\ faldon<Tab>zf
menutrans &Delete\ Fold<Tab>zd Forv&iŝi\ faldon<Tab>zd
menutrans Delete\ &All\ Folds<Tab>zD Forviŝi\ ĉiu&jn\ faldojn<Tab>zD
" -SEP2-
menutrans Fold\ col&umn\ width &Larĝo\ de\ falda\ kolumno
menutrans &Diff Kom&pari
menutrans &Update Ĝis&datigi
menutrans &Get\ Block &Akiri\ blokon
menutrans &Put\ Block Enme&ti\ blokon
" -SEP2-
menutrans &Make<Tab>:make Lanĉi\ ma&ke<Tab>:make
menutrans &List\ Errors<Tab>:cl Listigi\ &erarojn<Tab>:cl
menutrans L&ist\ Messages<Tab>:cl! Listigi\ &mesaĝojn<Tab>:cl!
menutrans &Next\ Error<Tab>:cn Sek&vanta\ eraro<Tab>:cn
menutrans &Previous\ Error<Tab>:cp An&taŭa\ eraro<Tab>:cp
menutrans &Older\ List<Tab>:cold Pli\ ma&lnova\ listo<Tab>:cold
menutrans N&ewer\ List<Tab>:cnew Pli\ nova\ listo<Tab>:cnew
menutrans Error\ &Window &Fenestro\ de\ eraroj
menutrans &Update<Tab>:cwin Ĝis&datigi<Tab>:cwin
menutrans &Open<Tab>:copen &Malfermi<Tab>:copen
menutrans &Close<Tab>:cclose &Fermi<Tab>:cclose
" -SEP3-
menutrans &Convert\ to\ HEX<Tab>:%!xxd Konverti\ al\ deksesuma<Tab>:%!xxd
menutrans Conve&rt\ back<Tab>:%!xxd\ -r Retrokonverti<Tab>:%!xxd\ -r
menutrans Se&T\ Compiler &Elekti\ kompililon
menutrans &Buffers &Bufroj
menutrans Dummy Fikcia
menutrans &Refresh\ menu Ĝis&datigi\ menuon
menutrans &Delete &Forviŝi
menutrans &Alternate &Alterni
menutrans &Next &Sekvanta
menutrans &Previous An&taŭa
" -SEP-
menutrans &others a&liaj
menutrans &u-z &u-z
let g:menutrans_no_file = "[Neniu dosiero]"
menutrans &Window Fene&stro
menutrans &New<Tab>^Wn &Nova<Tab>^Wn
menutrans S&plit<Tab>^Ws Di&vidi<Tab>^Ws
menutrans Sp&lit\ To\ #<Tab>^W^^ Dividi\ &al\ #<Tab>^W^^
menutrans Split\ &Vertically<Tab>^Wv Dividi\ &vertikale<Tab>^Wv
menutrans Split\ File\ E&xplorer Dividi\ &dosierfoliumilo
" -SEP1-
menutrans &Close<Tab>^Wc &Fermi<Tab>^Wc
menutrans Close\ &Other(s)<Tab>^Wo Fermi\ &aliajn<Tab>^Wo
" -SEP2-
menutrans Move\ &To &Movu\ al
menutrans &Top<Tab>^WK Su&pro<Tab>^WK
menutrans &Bottom<Tab>^WJ Su&bo<Tab>^WJ
menutrans &Left\ side<Tab>^WH Maldekstra\ &flanko<Tab>^WH
menutrans &Right\ side<Tab>^WL Dekstra\ f&lanko<Tab>^WL
menutrans Rotate\ &Up<Tab>^WR Rota&cii\ supre<Tab>^WR
menutrans Rotate\ &Down<Tab>^Wr Rotac&ii\ sube<Tab>^Wr
" -SEP3-
menutrans &Equal\ Size<Tab>^W= &Egala\ grando<Tab>^W=
menutrans &Max\ Height<Tab>^W_ Ma&ksimuma\ alto<Tab>^W_
menutrans M&in\ Height<Tab>^W1_ Mi&nimuma\ alto<Tab>^W1_
menutrans Max\ &Width<Tab>^W\| Maksimuma\ &larĝo<Tab>^W\|
menutrans Min\ Widt&h<Tab>^W1\| Minimuma\ lar&ĝo<Tab>^W1\|
" PopUp
menutrans &Undo &Malfari
" -SEP1-
menutrans Cu&t &Tondi
menutrans &Copy &Kopii
menutrans &Paste &Al&glui
" &Buffers.&Delete overwrites this one
menutrans &Delete &Forviŝi
" -SEP2-
menutrans Select\ Blockwise Apartigi\ &bloke
menutrans Select\ &Word Apartigi\ &vorton
menutrans Select\ &Line Apartigi\ &linion
menutrans Select\ &Block Apartigi\ blo&kon
menutrans Select\ &All Apartigi\ ĉi&on
" ToolBar
menutrans Open Malfermi
menutrans Save Konservi
menutrans SaveAll Konservi\ ĉion
menutrans Print Presi
" -sep1-
menutrans Undo Rezigni
menutrans Redo Refari
" -sep2-
menutrans Cut Tondi
menutrans Copy Kopii
menutrans Paste Alglui
" -sep3-
menutrans Find Trovi
menutrans FindNext Trovi\ sekvanten
menutrans FindPrev Trovi\ antaŭen
menutrans Replace Anstataŭigi
" -sep4-
menutrans New Nova
menutrans WinSplit DividFen
menutrans WinMax MaksFen
menutrans WinMin MinFen
menutrans WinVSplit VDividFen
menutrans WinMaxWidth MaksLarĝFen
menutrans WinMinWidth MinLarĝFen
menutrans WinClose FermFen
" -sep5-
menutrans LoadSesn ŜargSeanc
menutrans SaveSesn KonsSeanc
menutrans RunScript LanĉSkript
" -sep6-
menutrans Make Make
menutrans RunCtags KreiEtik
menutrans TagJump IriAlEtik
" -sep7-
menutrans Help Helpo
menutrans FindHelp SerĉHelp
fun! Do_toolbar_tmenu()
let did_toolbar_tmenu = 1
tmenu ToolBar.Open Malfermi dosieron
tmenu ToolBar.Save Konservi aktualan dosieron
tmenu ToolBar.SaveAll Konservi ĉiujn dosierojn
tmenu ToolBar.Print Presi
tmenu ToolBar.Undo Rezigni
tmenu ToolBar.Redo Refari
tmenu ToolBar.Cut Tondi
tmenu ToolBar.Copy Kopii
tmenu ToolBar.Paste Alglui
if !has("gui_athena")
tmenu ToolBar.Find Trovi
tmenu ToolBar.FindNext Trovi sekvanten
tmenu ToolBar.FindPrev Trovi antaŭen
tmenu ToolBar.Replace Anstataŭigi
endif
if 0 " disabled; These are in the Windows menu
tmenu ToolBar.New Nova fenestro
tmenu ToolBar.WinSplit Dividi fenestron
tmenu ToolBar.WinMax Maksimumi fenestron
tmenu ToolBar.WinMin Minimumi fenestron
tmenu ToolBar.WinVSplit Dividi vertikale
tmenu ToolBar.WinMaxWidth Maksimumi larĝon de fenestro
tmenu ToolBar.WinMinWidth Minimumi larĝon de fenestro
tmenu ToolBar.WinClose Fermi fenestron
endif
tmenu ToolBar.LoadSesn Malfermi seancon
tmenu ToolBar.SaveSesn Konservi aktualan seancon
tmenu ToolBar.RunScript Ruli skripton Vim
tmenu ToolBar.Make Lanĉi make
tmenu ToolBar.RunCtags Krei etikedojn
tmenu ToolBar.TagJump Atingi tiun etikedon
tmenu ToolBar.Help Helpo de Vim
tmenu ToolBar.FindHelp Serĉo en helparo
endfun
menutrans &Syntax &Sintakso
menutrans &Off &Malŝalti
menutrans &Manual &Permana
menutrans A&utomatic &Aŭtomata
menutrans on/off\ for\ &This\ file Ŝalti/Malŝalti\ por\ &tiu\ dosiero
" The Start Of The Syntax Menu
menutrans ABC\ music\ notation ABC\ (muzika\ notacio)
menutrans AceDB\ model Modelo\ AceDB
menutrans Apache\ config Konfiguro\ de\ Apache
menutrans Apache-style\ config Konfiguro\ de\ stilo\ Apache
menutrans ASP\ with\ VBScript ASP\ kun\ VBScript
menutrans ASP\ with\ Perl ASP\ kun\ Perl
menutrans Assembly Asemblilo
menutrans BC\ calculator Kalkulilo\ BC
menutrans BDF\ font Tiparo\ BDF
menutrans BIND\ config Konfiguro\ de\ BIND
menutrans BIND\ zone Zone\ BIND
menutrans Cascading\ Style\ Sheets CSS
menutrans Cfg\ Config\ file Konfigura\ dosiero\ \.cfg
menutrans Cheetah\ template Ŝablono\ Cheetah
menutrans commit\ file Dosiero\ commit
menutrans Generic\ Config\ file Dosiero\ de\ ĝenerala\ konfiguro
menutrans Digital\ Command\ Lang DCL
menutrans DNS/BIND\ zone Regiono\ BIND/DNS
menutrans Dylan\ interface Interfaco\ Dylan
menutrans Dylan\ lid Dylan\ lid
menutrans Elm\ filter\ rules Reguloj\ de\ filtrado\ Elm
menutrans ERicsson\ LANGuage Erlang\ (Lingvo\ de\ Ericsson)
menutrans Essbase\ script Skripto\ Essbase
menutrans Eterm\ config Konfiguro\ de\ Eterm
menutrans Exim\ conf Konfiguro\ de\ Exim
menutrans Fvwm\ configuration Konfiguro\ de\ Fvwm
menutrans Fvwm2\ configuration Konfiguro\ de\ Fvwm2
menutrans Fvwm2\ configuration\ with\ M4 Konfiguro\ de\ Fvwm2\ kun\ M4
menutrans GDB\ command\ file Komanda\ dosiero\ de\ GDB
menutrans HTML\ with\ M4 HTML\ kun\ M4
menutrans Cheetah\ HTML\ template Ŝablono\ Cheetah\ HTML
menutrans IDL\Generic\ IDL Ĝenerala\ IDL\IDL
menutrans IDL\Microsoft\ IDL IDL\IDL\ Mikrosofto
menutrans Indent\ profile Profilo\ Indent
menutrans Inno\ setup Konfiguro\ de\ Inno
menutrans InstallShield\ script Skripto\ InstallShield
menutrans KDE\ script Skripto\ KDE
menutrans LFTP\ config Konfiguro\ de\ LFTP
menutrans LifeLines\ script Skripto\ LifeLines
menutrans Lynx\ Style Stilo\ de\ Lynx
menutrans Lynx\ config Konfiguro\ de\ Lynx
menutrans Man\ page Manlibra\ paĝo
menutrans MEL\ (for\ Maya) MEL\ (por\ Maya)
menutrans 4DOS\ \.bat\ file Dosiero\ \.bat\ 4DOS
menutrans \.bat\/\.cmd\ file Dosiero\ \.bat\/\.cmd
menutrans \.ini\ file Dosiero\ \.ini
menutrans Module\ Definition Difino\ de\ modulo
menutrans Registry Registraro
menutrans Resource\ file Dosiero\ de\ rimedoj
menutrans Novell\ NCF\ batch Staplo\ Novell\ NCF
menutrans NSIS\ script Skripto\ NSIS
menutrans Oracle\ config Konfiguro\ de\ Oracle
menutrans Palm\ resource\ compiler Tradukilo\ de\ rimedoj\ Palm
menutrans PHP\ 3-4 PHP\ 3\ et\ 4
menutrans Postfix\ main\ config Ĉefa\ konfiguro\ de\ Postfix
menutrans Povray\ scene\ descr Scenejo\ Povray
menutrans Povray\ configuration Konfiguro\ de\ Povray
menutrans Purify\ log Protokolo\ de\ Purify
menutrans Readline\ config Konfiguro\ de\ Readline
menutrans RCS\ log\ output Protokola\ eligo\ de\ RCS
menutrans RCS\ file Dosiero\ RCS
menutrans RockLinux\ package\ desc\. Priskribo\ de\ pakaĵoj\ RockLinux
menutrans Samba\ config Konfiguro\ de\ Samba
menutrans SGML\ catalog Katalogo\ SGML
menutrans SGML\ DTD DTD\ SGML
menutrans SGML\ Declaration Deklaracio\ SGML
menutrans Shell\ script Skripto-ŝelo
menutrans sh\ and\ ksh sh\ kaj\ ksh
menutrans Sinda\ compare Komparo\ Sinda
menutrans Sinda\ input Enigo\ Sinda
menutrans Sinda\ output Eligo\ Sinda
menutrans SKILL\ for\ Diva SKILL\ por\ Diva
menutrans Smarty\ Templates Ŝablono\ Smarty
menutrans SNNS\ network Reto\ SNNS
menutrans SNNS\ pattern Ŝablono\ SNNS
menutrans SNNS\ result Rezulto\ SNNS
menutrans Snort\ Configuration Konfiguro\ de\ Snort
menutrans Squid\ config Konfiguro\ de\ Squid
menutrans Subversion\ commit Commit\ Subversion
menutrans TAK\ compare Komparo\ TAK
menutrans TAK\ input Enigo\ TAK
menutrans TAK\ output Eligo\ TAK
menutrans TeX\ configuration Konfiguro\ de\ TeX
menutrans TF\ mud\ client TF\ (client\ MUD)
menutrans Tidy\ configuration Konfiguro\ de\ Tidy
menutrans Trasys\ input Enigo\ Trasys
menutrans Command\ Line Komanda\ linio
menutrans Geometry Geometrio
menutrans Optics Optiko
menutrans Vim\ help\ file Helpa\ dosiero\ de\ Vim
menutrans Vim\ script Skripto\ Vim
menutrans Viminfo\ file Dosiero\ Viminfo
menutrans Virata\ config Konfiguro\ de\ Virata
menutrans Wget\ config Konfiguro\ de\ wget
menutrans Whitespace\ (add) Spacetoj
menutrans WildPackets\ EtherPeek\ Decoder Malkodilo\ WildPackets\ EtherPeek
menutrans X\ resources Rimedoj\ X
menutrans XXD\ hex\ dump Eligo\ deksesuma\.\ de\ xxd
menutrans XFree86\ Config Konfiguro\ de\ XFree86
" The End Of The Syntax Menu
menutrans &Show\ filetypes\ in\ menu &Montri\ dosiertipojn\ en\ menuo
" -SEP1-
menutrans Set\ '&syntax'\ only Ŝalti\ nur\ '&syntax'
menutrans Set\ '&filetype'\ too Ŝalti\ ankaŭ\ '&filetype'
menutrans &Off M&alŝaltita
" -SEP3-
menutrans Co&lor\ test Testo\ de\ &koloroj
menutrans &Highlight\ test Testo\ de\ &emfazo
menutrans &Convert\ to\ HTML Konverti\ al\ &HTML

View file

@ -0,0 +1,3 @@
" Menu Translations: Esperanto for UTF-8 encoding
source <sfile>:p:h/menu_eo.utf-8.vim

View file

@ -0,0 +1,3 @@
" Menu Translations: Finnish for UTF-8 encoding
source <sfile>:p:h/menu_fi_fi.latin1.vim

View file

@ -0,0 +1,3 @@
" Menu Translations: Finnish for Windows CodePage 1252 encoding
source <sfile>:p:h/menu_fi_fi.latin1.vim

View file

@ -4,7 +4,7 @@ For instructions on installing this file, type
:help matchit-install
inside Vim.
For Vim version 6.3. Last change: 2006 Feb 23
For Vim version 6.3. Last change: 2007 Aug 29
VIM REFERENCE MANUAL by Benji Fisher
@ -34,7 +34,7 @@ in your |vimrc| file: >
*g%* *v_g%* *o_g%*
g% Cycle backwards through matching groups, as specified by
|b:match_words|. For example, go from "endif" to "else" to "if".
|b:match_words|. For example, go from "if" to "endif" to "else".
*[%* *v_[%* *o_[%*
[% Go to [count] previous unmatched group, as specified by
@ -64,9 +64,9 @@ option. The matchit plugin extends this in several ways:
By default, words inside comments and strings are ignored, unless
the cursor is inside a comment or string when you type "%". If the
only thing you want to do is modify the behavior of "%" so that it
behaves this way, you can >
:let b:match_words = &matchpairs
<
behaves this way, you do not have to define |b:match_words|, since the
script uses the 'matchpairs' option as well as this variable.
See |matchit-details| for details on what the script does, and |b:match_words|
for how to specify matching patterns.
@ -84,7 +84,7 @@ LANGUAGES: *matchit-languages*
Currently, the following languages are supported: Ada, ASP with VBS, Csh,
DTD, Entity, Essbase, Fortran, HTML, JSP (same as HTML), LaTeX, Lua, Pascal,
SGML, Shell, Tcsh, Vim, XML. Other languages may already have support via
|filetype-plugin|s.
the default |filetype-plugin|s in the standard vim distribution.
To support a new language, see |matchit-newlang| below.
@ -109,7 +109,6 @@ The script follows these rules:
Prefer a match that includes the cursor position (that is, one that
starts on or before the cursor).
Prefer a match that starts as close to the cursor as possible.
Prefer a match in |b:match_words| to a match in 'matchpairs'.
If more than one pattern in |b:match_words| matches, choose the one
that is listed first.
@ -131,9 +130,10 @@ Examples:
cursor starts on the "end " then "end if" is chosen. (You can avoid
this problem by using a more complicated pattern.)
If there is no match, the script falls back on the usual behavior of |%|. If
debugging is turned on, the matched bit of text is saved as |b:match_match|
and the cursor column of the start of the match is saved as |b:match_col|.
If there is no match, the cursor does not move. (Before version 1.13 of the
script, it would fall back on the usual behavior of |%|). If debugging is
turned on, the matched bit of text is saved as |b:match_match| and the cursor
column of the start of the match is saved as |b:match_col|.
Next, the script looks through |b:match_words| (original and parsed versions)
for the group and pattern that match. If debugging is turned on, the group is
@ -160,11 +160,13 @@ or >
:runtime macros/matchit.vim
Either way, the script should start working the next time you start up Vim.
The script does nothing unless it finds a |buffer-variable| named
|b:match_words|. The script contains autocommands that set this variable for
various file types: see |matchit-languages| above. For a new language, you
can add autocommands to the script or to your vimrc file, but the recommended
method is to add a line such as >
(Earlier versions of the script did nothing unless a |buffer-variable| named
|b:match_words| was defined. Even earlier versions contained autocommands
that set this variable for various file types. Now, |b:match_words| is
defined in many of the default |filetype-plugin|s instead.)
For a new language, you can add autocommands to the script or to your vimrc
file, but the recommended method is to add a line such as >
let b:match_words = '\<foo\>:\<bar\>'
to the |filetype-plugin| for your language. See |b:match_words| below for how
this variable is interpreted.

View file

@ -1,6 +1,6 @@
" Vim syntax support file
" Maintainer: Bram Moolenaar <Bram@vim.org>
" Last Change: 2007 Mar 10
" Last Change: 2007 Aug 31
" (modified by David Ne\v{c}as (Yeti) <yeti@physics.muni.cz>)
" (XHTML support by Panagiotis Issaris <takis@lumumba.luc.ac.be>)
" (made w3 compliant by Edd Barrett <vext01@gmail.com>)
@ -162,9 +162,9 @@ else
let s:html_encoding = 'iso-8859-1'
elseif s:vim_encoding =~ "^cp12"
let s:html_encoding = substitute(s:vim_encoding, 'cp', 'windows-', '')
elseif s:vim_encoding == 'sjis'
elseif s:vim_encoding == 'sjis' || s:vim_encoding == 'cp932'
let s:html_encoding = 'Shift_JIS'
elseif s:vim_encoding == 'big5'
elseif s:vim_encoding == 'big5' || s:vim_encoding == 'cp950'
let s:html_encoding = "Big5"
elseif s:vim_encoding == 'euc-cn'
let s:html_encoding = 'GB_2312-80'

View file

@ -1,7 +1,7 @@
" Vim script for testing colors
" Maintainer: Bram Moolenaar <Bram@vim.org>
" Contributors: Rafael Garcia-Suarez, Charles Campbell
" Last Change: 2006 Feb 20
" Last Change: 2008 Jun 04
" edit this file, then do ":source %", and check if the colors match
@ -55,11 +55,18 @@
" Open this file in a window if it isn't edited yet.
" Use the current window if it's empty.
if expand('%:p') != expand('<sfile>:p')
if &mod || line('$') != 1 || getline(1) != ''
exe "new " . expand('<sfile>')
let s:fname = expand('<sfile>')
if exists('*fnameescape')
let s:fname = fnameescape(s:fname)
else
exe "edit " . expand('<sfile>')
let s:fname = escape(s:fname, ' \|')
endif
if &mod || line('$') != 1 || getline(1) != ''
exe "new " . s:fname
else
exe "edit " . s:fname
endif
unlet s:fname
endif
syn clear

View file

@ -1,8 +1,8 @@
" Vim syntax file
" Language: Microsoft Module-Definition (.def) File
" Maintainer: Rob Brady <robb@datatone.com>
" Orig Author: Rob Brady <robb@datatone.com>
" Maintainer: Wu Yongwei <wuyongwei@gmail.com>
" Last Change: $Date$
" URL: http://www.datatone.com/~robb/vim/syntax/def.vim
" $Revision$
" For version 5.x: Clear all syntax items
@ -23,7 +23,7 @@ syn keyword defKeyword HEAPSIZE DATA
syn keyword defStorage LOADONCALL MOVEABLE DISCARDABLE SINGLE
syn keyword defStorage FIXED PRELOAD
syn match defOrdinal "@\d\+"
syn match defOrdinal "\s\+@\d\+"
syn region defString start=+'+ end=+'+

150
runtime/syntax/dtrace.vim Normal file
View file

@ -0,0 +1,150 @@
" DTrace D script syntax file. To avoid confusion with the D programming
" language, I call this script dtrace.vim instead of d.vim.
" Language: D script as described in "Solaris Dynamic Tracing Guide",
" http://docs.sun.com/app/docs/doc/817-6223
" Version: 1.5
" Last Change: 2008/04/05
" Maintainer: Nicolas Weber <nicolasweber@gmx.de>
" dtrace lexer and parser are at
" http://src.opensolaris.org/source/xref/onnv/onnv-gate/usr/src/lib/libdtrace/common/dt_lex.l
" http://src.opensolaris.org/source/xref/onnv/onnv-gate/usr/src/lib/libdtrace/common/dt_grammar.y
if version < 600
syntax clear
elseif exists("b:current_syntax")
finish
endif
" Read the C syntax to start with
if version < 600
so <sfile>:p:h/c.vim
else
runtime! syntax/c.vim
unlet b:current_syntax
endif
syn clear cCommentL " dtrace doesn't support // style comments
" First line may start with #!, also make sure a '-s' flag is somewhere in
" that line.
syn match dtraceComment "\%^#!.*-s.*"
" Probe descriptors need explicit matches, so that keywords in probe
" descriptors don't show up as errors. Note that this regex detects probes
" as "something with three ':' in it". This works in practice, but it's not
" really correct. Also add special case code for BEGIN, END and ERROR, since
" they are common.
" Be careful not to detect '/*some:::node*/\n/**/' as probe, as it's
" commented out.
" XXX: This allows a probe description to end with ',', even if it's not
" followed by another probe.
" XXX: This doesn't work if followed by a comment.
let s:oneProbe = '\%(BEGIN\|END\|ERROR\|\S\{-}:\S\{-}:\S\{-}:\S\{-}\)\_s*'
exec 'syn match dtraceProbe "'.s:oneProbe.'\%(,\_s*'.s:oneProbe.'\)*\ze\_s\%({\|\/[^*]\|\%$\)"'
" Note: We have to be careful to not make this match /* */ comments.
" Also be careful not to eat `c = a / b; b = a / 2;`. We use the same
" technique as the dtrace lexer: a predicate has to be followed by {, ;, or
" EOF. Also note that dtrace doesn't allow an empty predicate // (we do).
" This regex doesn't allow a divison operator in the predicate.
" Make sure that this matches the empty predicate as well.
" XXX: This doesn't work if followed by a comment.
syn match dtracePredicate "/\*\@!\_[^/]*/\ze\_s*\%({\|;\|\%$\)"
"contains=ALLBUT,dtraceOption " this lets the region contain too much stuff
" Pragmas.
" dtrace seems not to support whitespace before or after the '='. dtrace
" supports only one option per #pragma, and no continuations of #pragma over
" several lines with '\'.
" Note that dtrace treats units (Hz etc) as case-insenstive, we allow only
" sane unit capitalization in this script (ie 'ns', 'us', 'ms', 's' have to be
" small, Hertz can be 'Hz' or 'hz')
" XXX: "cpu" is always highlighted as builtin var, not as option
" auto or manual: bufresize
syn match dtraceOption contained "bufresize=\%(auto\|manual\)\s*$"
" scalar: cpu jstackframes jstackstrsize nspec stackframes stackindent ustackframes
syn match dtraceOption contained "\%(cpu\|jstackframes\|jstackstrsize\|nspec\|stackframes\|stackindent\|ustackframes\)=\d\+\s*$"
" size: aggsize bufsize dynvarsize specsize strsize
" size defaults to something if no unit is given (ie., having no unit is ok)
syn match dtraceOption contained "\%(aggsize\|bufsize\|dynvarsize\|specsize\|strsize\)=\d\+\%(k\|m\|g\|t\|K\|M\|G\|T\)\=\s*$"
" time: aggrate cleanrate statusrate switchrate
" time defaults to hz if no unit is given
syn match dtraceOption contained "\%(aggrate\|cleanrate\|statusrate\|switchrate\)=\d\+\%(hz\|Hz\|ns\|us\|ms\|s\)\=\s*$"
" No type: defaultargs destructive flowindent grabanon quiet rawbytes
syn match dtraceOption contained "\%(defaultargs\|destructive\|flowindent\|grabanon\|quiet\|rawbytes\)\s*$"
" Turn reserved but unspecified keywords into errors
syn keyword dtraceReservedKeyword auto break case continue counter default do
syn keyword dtraceReservedKeyword else for goto if import probe provider
syn keyword dtraceReservedKeyword register restrict return static switch while
" Add dtrace-specific stuff
syn keyword dtraceOperator sizeof offsetof stringof xlate
syn keyword dtraceStatement self inline xlate this translator
" Builtin variables
syn keyword dtraceIdentifier arg0 arg1 arg2 arg3 arg4 arg5 arg6 arg7 arg8 arg9
syn keyword dtraceIdentifier args caller chip cpu curcpu curlwpsinfo curpsinfo
syn keyword dtraceIdentifier curthread cwd epid errno execname gid id ipl lgrp
syn keyword dtraceIdentifier pid ppid probefunc probemod probename probeprov
syn keyword dtraceIdentifier pset root stackdepth tid timestamp uid uregs
syn keyword dtraceIdentifier vtimestamp walltimestamp
syn keyword dtraceIdentifier ustackdepth
" Macro Variables
syn match dtraceConstant "$[0-9]\+"
syn match dtraceConstant "$\(egid\|euid\|gid\|pgid\|ppid\)"
syn match dtraceConstant "$\(projid\|sid\|target\|taskid\|uid\)"
" Data Recording Actions
syn keyword dtraceFunction trace tracemem printf printa stack ustack jstack
" Process Destructive Actions
syn keyword dtraceFunction stop raise copyout copyoutstr system
" Kernel Destructive Actions
syn keyword dtraceFunction breakpoint panic chill
" Special Actions
syn keyword dtraceFunction speculate commit discard exit
" Subroutines
syn keyword dtraceFunction alloca basename bcopy cleanpath copyin copyinstr
syn keyword dtraceFunction copyinto dirname msgdsize msgsize mutex_owned
syn keyword dtraceFunction mutex_owner mutex_type_adaptive progenyof
syn keyword dtraceFunction rand rw_iswriter rw_write_held speculation
syn keyword dtraceFunction strjoin strlen
" Aggregating Functions
syn keyword dtraceAggregatingFunction count sum avg min max lquantize quantize
syn keyword dtraceType int8_t int16_t int32_t int64_t intptr_t
syn keyword dtraceType uint8_t uint16_t uint32_t uint64_t uintptr_t
syn keyword dtraceType string
syn keyword dtraceType pid_t id_t
" Define the default highlighting.
" We use `hi def link` directly, this requires 5.8.
hi def link dtraceReservedKeyword Error
hi def link dtracePredicate String
hi def link dtraceProbe dtraceStatement
hi def link dtraceStatement Statement
hi def link dtraceConstant Constant
hi def link dtraceIdentifier Identifier
hi def link dtraceAggregatingFunction dtraceFunction
hi def link dtraceFunction Function
hi def link dtraceType Type
hi def link dtraceOperator Operator
hi def link dtraceComment Comment
hi def link dtraceNumber Number
hi def link dtraceOption Identifier
let b:current_syntax = "dtrace"

View file

@ -1,11 +1,11 @@
" Vim syntax file
" Language: erlang (ERicsson LANGuage)
" http://www.erlang.se
" http://www.erlang.org
" Maintainer: Kre¹imir Mar¾iæ (Kresimir Marzic) <kmarzic@fly.srk.fer.hr>
" Last update: Fri, 15-Feb-2002
" http://www.erlang.se
" http://www.erlang.org
" Maintainer: Csaba Hoch <csaba.hoch@gmail.com>
" Former Maintainer: Kreąimir Marľić (Kresimir Marzic) <kmarzic@fly.srk.fer.hr>
" Last update: 12-Mar-2008
" Filenames: .erl
" URL: http://www.srk.fer.hr/~kmarzic/vim/syntax/erlang.vim
" There are three sets of highlighting in here:
@ -24,9 +24,9 @@
" For version 5.x: Clear all syntax items
" For version 6.x: Quit when a syntax file was already loaded
if version < 600
syntax clear
syntax clear
elseif exists ("b:current_syntax")
finish
finish
endif
@ -35,136 +35,140 @@ syn case match
if ! exists ("erlang_characters")
" Basic elements
syn match erlangComment +%.*$+
syn match erlangModifier "\~\a\|\\\a" contained
syn match erlangSpecialCharacter ":\|_\|@\|\\\|\"\|\."
syn match erlangSeparator "(\|)\|{\|}\|\[\|]\||\|||\|;\|,\|?\|->\|#" contained
syn region erlangString start=+"+ skip=+\\"+ end=+"+ contains=erlangModifier
syn region erlangAtom start=+'+ skip=+\\'+ end=+'+
" Operators
syn match erlangOperator "+\|-\|\*\|\/"
syn keyword erlangOperator div rem or xor bor bxor bsl bsr
syn keyword erlangOperator and band not bnot
syn match erlangOperator "==\|/=\|=:=\|=/=\|<\|=<\|>\|>="
syn match erlangOperator "++\|--\|=\|!\|<-"
" Basic elements
syn match erlangComment "%.*$" contains=erlangAnnotation,erlangTodo
syn match erlangAnnotation " \@<=@\%(clear\|docfile\|end\|headerfile\|todo\|TODO\|type\|author\|copyright\|doc\|reference\|see\|since\|title\|version\|deprecated\|hidden\|private\|equiv\|spec\|throws\)" contained
syn match erlangAnnotation "`[^']*'" contained
syn keyword erlangTodo TODO FIXME XXX contained
syn match erlangModifier "\~\a\|\\\a\|\\\\" contained
syn match erlangSpecialCharacter ":\|_\|@\|\\\|\"\|\."
syn match erlangSeparator "(\|)\|{\|}\|\[\|]\||\|||\|;\|,\|?\|->\|#" contained
syn region erlangString start=+"+ skip=+\\.+ end=+"+ contains=erlangModifier
syn region erlangAtom start=+'+ skip=+\\'+ end=+'+
" Numbers
syn match erlangNumberInteger "[+-]\=\d\+" contains=erlangSeparator
syn match erlangNumberFloat1 "[+-]\=\d\+.\d\+" contains=erlangSeparator
syn match erlangNumberFloat2 "[+-]\=\d\+\(.\d\+\)\=[eE][+-]\=\d\+\(.\d\+\)\=" contains=erlangSeparator
syn match erlangNumberFloat3 "[+-]\=\d\+[#]\x\+" contains=erlangSeparator
syn match erlangNumberFloat4 "[+-]\=[eE][+-]\=\d\+" contains=erlangSeparator
syn match erlangNumberHex "$\x\+" contains=erlangSeparator
" Operators
syn match erlangOperator "+\|-\|\*\|\/"
syn keyword erlangOperator div rem or xor bor bxor bsl bsr
syn keyword erlangOperator and band not bnot
syn match erlangOperator "==\|/=\|=:=\|=/=\|<\|=<\|>\|>="
syn match erlangOperator "++\|--\|=\|!\|<-"
" Ignore '_' and '-' in words
syn match erlangWord "\w\+[_-]\+\w\+"
" Numbers
syn match erlangNumberInteger "\d\+" contains=erlangSeparator
syn match erlangNumberFloat1 "\d\+\.\d\+" contains=erlangSeparator
syn match erlangNumberFloat2 "\d\+\(\.\d\+\)\=[eE][+-]\=\d\+\(\.\d\+\)\=" contains=erlangSeparator
syn match erlangNumberFloat3 "\d\+[#]\x\+" contains=erlangSeparator
syn match erlangNumberHex "$\x\+" contains=erlangSeparator
" Ignore numbers in words
syn match erlangWord "\w\+\d\+\(\(.\d\+\)\=\(\w\+\)\=\)\="
" Ignore '_' and '-' in words
syn match erlangWord "\h\+\w*"
syn match erlangChar /\$./
endif
if ! exists ("erlang_functions")
" Functions call
syn match erlangFCall "\w\+\(\s\+\)\=[:@]\(\s\+\)\=\w\+" contains=ALLBUT,erlangFunction,erlangBIF,erlangWord
" Functions call
syn match erlangFCall "\%(\w\+\s*\.\s*\)*\w\+\s*[:@]\s*\w\+"
" build-in-functions (BIFs)
syn keyword erlangBIF abs alive apply atom_to_list
syn keyword erlangBIF binary_to_list binary_to_term
syn keyword erlangBIF concat_binary
syn keyword erlangBIF date disconnect_node
syn keyword erlangBIF element erase exit
syn keyword erlangBIF float float_to_list
syn keyword erlangBIF get get_keys group_leader
syn keyword erlangBIF halt hd
syn keyword erlangBIF integer_to_list is_alive
syn keyword erlangBIF length link list_to_atom list_to_binary
syn keyword erlangBIF list_to_float list_to_integer list_to_pid
syn keyword erlangBIF list_to_tuple load_module
syn keyword erlangBIF make_ref monitor_node
syn keyword erlangBIF node nodes now
syn keyword erlangBIF open_port
syn keyword erlangBIF pid_to_list process_flag
syn keyword erlangBIF process_info process put
syn keyword erlangBIF register registered round
syn keyword erlangBIF self setelement size spawn
syn keyword erlangBIF spawn_link split_binary statistics
syn keyword erlangBIF term_to_binary throw time tl trunc
syn keyword erlangBIF tuple_to_list
syn keyword erlangBIF unlink unregister
syn keyword erlangBIF whereis
" build-in-functions (BIFs)
syn keyword erlangBIF abs alive apply atom_to_list
syn keyword erlangBIF binary_to_list binary_to_term
syn keyword erlangBIF concat_binary
syn keyword erlangBIF date disconnect_node
syn keyword erlangBIF element erase exit
syn keyword erlangBIF float float_to_list
syn keyword erlangBIF get get_keys group_leader
syn keyword erlangBIF halt hd
syn keyword erlangBIF integer_to_list is_alive
syn keyword erlangBIF length link list_to_atom list_to_binary
syn keyword erlangBIF list_to_float list_to_integer list_to_pid
syn keyword erlangBIF list_to_tuple load_module
syn keyword erlangBIF make_ref monitor_node
syn keyword erlangBIF node nodes now
syn keyword erlangBIF open_port
syn keyword erlangBIF pid_to_list process_flag
syn keyword erlangBIF process_info process put
syn keyword erlangBIF register registered round
syn keyword erlangBIF self setelement size spawn
syn keyword erlangBIF spawn_link split_binary statistics
syn keyword erlangBIF term_to_binary throw time tl trunc
syn keyword erlangBIF tuple_to_list
syn keyword erlangBIF unlink unregister
syn keyword erlangBIF whereis
" Other BIFs
syn keyword erlangBIF atom binary constant function integer
syn keyword erlangBIF list number pid ports port_close port_info
syn keyword erlangBIF reference record
" Other BIFs
syn keyword erlangBIF atom binary constant function integer
syn keyword erlangBIF list number pid ports port_close port_info
syn keyword erlangBIF reference record
" erlang:BIFs
syn keyword erlangBIF check_process_code delete_module
syn keyword erlangBIF get_cookie hash math module_loaded
syn keyword erlangBIF preloaded processes purge_module set_cookie
syn keyword erlangBIF set_node
" erlang:BIFs
syn keyword erlangBIF check_process_code delete_module
syn keyword erlangBIF get_cookie hash math module_loaded
syn keyword erlangBIF preloaded processes purge_module set_cookie
syn keyword erlangBIF set_node
" functions of math library
syn keyword erlangFunction acos asin atan atan2 cos cosh exp
syn keyword erlangFunction log log10 pi pow power sin sinh sqrt
syn keyword erlangFunction tan tanh
" functions of math library
syn keyword erlangFunction acos asin atan atan2 cos cosh exp
syn keyword erlangFunction log log10 pi pow power sin sinh sqrt
syn keyword erlangFunction tan tanh
" Other functions
syn keyword erlangFunction call module_info parse_transform
syn keyword erlangFunction undefined_function
" Other functions
syn keyword erlangFunction call module_info parse_transform
syn keyword erlangFunction undefined_function
" Modules
syn keyword erlangModule error_handler
" Modules
syn keyword erlangModule error_handler
endif
if ! exists ("erlang_keywords")
" Constants and Directives
syn match erlangDirective "-compile\|-define\|-else\|-endif\|-export\|-file"
syn match erlangDirective "-ifdef\|-ifndef\|-import\|-include\|-include_lib"
syn match erlangDirective "-module\|-record\|-undef"
" Constants and Directives
syn match erlangDirective "-behaviour\|-behaviour"
syn match erlangDirective "-compile\|-define\|-else\|-endif\|-export\|-file"
syn match erlangDirective "-ifdef\|-ifndef\|-import\|-include_lib\|-include"
syn match erlangDirective "-module\|-record\|-undef"
syn match erlangConstant "-author\|-copyright\|-doc"
syn match erlangConstant "-author\|-copyright\|-doc\|-vsn"
" Keywords
syn keyword erlangKeyword after begin case catch
syn keyword erlangKeyword cond end fun if
syn keyword erlangKeyword let of query receive
syn keyword erlangKeyword when
" Keywords
syn keyword erlangKeyword after begin case catch
syn keyword erlangKeyword cond end fun if
syn keyword erlangKeyword let of query receive
syn keyword erlangKeyword when
syn keyword erlangKeyword try
" Processes
syn keyword erlangProcess creation current_function dictionary
syn keyword erlangProcess group_leader heap_size high initial_call
syn keyword erlangProcess linked low memory_in_use message_queue
syn keyword erlangProcess net_kernel node normal priority
syn keyword erlangProcess reductions registered_name runnable
syn keyword erlangProcess running stack_trace status timer
syn keyword erlangProcess trap_exit waiting
" Processes
syn keyword erlangProcess creation current_function dictionary
syn keyword erlangProcess group_leader heap_size high initial_call
syn keyword erlangProcess linked low memory_in_use message_queue
syn keyword erlangProcess net_kernel node normal priority
syn keyword erlangProcess reductions registered_name runnable
syn keyword erlangProcess running stack_trace status timer
syn keyword erlangProcess trap_exit waiting
" Ports
syn keyword erlangPort command count_in count_out creation in
syn keyword erlangPort in_format linked node out owner packeting
" Ports
syn keyword erlangPort command count_in count_out creation in
syn keyword erlangPort in_format linked node out owner packeting
" Nodes
syn keyword erlangNode atom_tables communicating creation
syn keyword erlangNode current_gc current_reductions current_runtime
syn keyword erlangNode current_wall_clock distribution_port
syn keyword erlangNode entry_points error_handler friends
syn keyword erlangNode garbage_collection magic_cookie magic_cookies
syn keyword erlangNode module_table monitored_nodes name next_ref
syn keyword erlangNode ports preloaded processes reductions
syn keyword erlangNode ref_state registry runtime wall_clock
" Nodes
syn keyword erlangNode atom_tables communicating creation
syn keyword erlangNode current_gc current_reductions current_runtime
syn keyword erlangNode current_wall_clock distribution_port
syn keyword erlangNode entry_points error_handler friends
syn keyword erlangNode garbage_collection magic_cookie magic_cookies
syn keyword erlangNode module_table monitored_nodes name next_ref
syn keyword erlangNode ports preloaded processes reductions
syn keyword erlangNode ref_state registry runtime wall_clock
" Reserved
syn keyword erlangReserved apply_lambda module_info module_lambdas
syn keyword erlangReserved record record_index record_info
" Reserved
syn keyword erlangReserved apply_lambda module_info module_lambdas
syn keyword erlangReserved record record_index record_info
" Extras
syn keyword erlangExtra badarg nocookie false fun true
" Extras
syn keyword erlangExtra badarg nocookie false fun true
" Signals
syn keyword erlangSignal badsig kill killed exit normal
" Signals
syn keyword erlangSignal badsig kill killed exit normal
endif
@ -173,52 +177,53 @@ endif
" For version 5.7 and earlier: only when not done already
" For version 5.8 and later: only when an item doesn't have highlighting yet
if version >= 508 || !exists ("did_erlang_inits")
if version < 508
let did_erlang_inits = 1
command -nargs=+ HiLink hi link <args>
else
command -nargs=+ HiLink hi def link <args>
endif
if version < 508
let did_erlang_inits = 1
command -nargs=+ HiLink hi link <args>
else
command -nargs=+ HiLink hi def link <args>
endif
" erlang_characters
HiLink erlangComment Comment
HiLink erlangSpecialCharacter Special
HiLink erlangSeparator Normal
HiLink erlangModifier Special
HiLink erlangOperator Operator
HiLink erlangString String
HiLink erlangAtom Type
" erlang_characters
HiLink erlangComment Comment
HiLink erlangAnnotation Special
HiLink erlangTodo Todo
HiLink erlangSpecialCharacter Special
HiLink erlangSeparator Normal
HiLink erlangModifier Special
HiLink erlangOperator Operator
HiLink erlangString String
HiLink erlangAtom Type
HiLink erlangNumberInteger Number
HiLink erlangNumberFloat1 Float
HiLink erlangNumberFloat2 Float
HiLink erlangNumberFloat3 Float
HiLink erlangNumberFloat4 Float
HiLink erlangNumberHex Number
HiLink erlangNumberInteger Number
HiLink erlangNumberFloat1 Float
HiLink erlangNumberFloat2 Float
HiLink erlangNumberFloat3 Float
HiLink erlangNumberFloat4 Float
HiLink erlangNumberHex Number
HiLink erlangWord Normal
HiLink erlangWord Normal
" erlang_functions
HiLink erlangFCall Function
HiLink erlangBIF Function
HiLink erlangFunction Function
HiLink erlangModuleFunction Function
" erlang_functions
HiLink erlangFCall Function
HiLink erlangBIF Function
HiLink erlangFunction Function
HiLink erlangModuleFunction Function
" erlang_keywords
HiLink erlangDirective Type
HiLink erlangConstant Type
HiLink erlangKeyword Keyword
HiLink erlangProcess Special
HiLink erlangPort Special
HiLink erlangNode Special
HiLink erlangReserved Statement
HiLink erlangExtra Statement
HiLink erlangSignal Statement
" erlang_keywords
HiLink erlangDirective Type
HiLink erlangConstant Type
HiLink erlangKeyword Keyword
HiLink erlangProcess Special
HiLink erlangPort Special
HiLink erlangNode Special
HiLink erlangReserved Statement
HiLink erlangExtra Statement
HiLink erlangSignal Statement
delcommand HiLink
delcommand HiLink
endif
let b:current_syntax = "erlang"
" eof

View file

@ -82,4 +82,4 @@ if main_syntax == 'eruby'
unlet main_syntax
endif
" vim: nowrap sw=2 sts=2 ts=8 ff=unix:
" vim: nowrap sw=2 sts=2 ts=8 :

View file

@ -1,10 +1,10 @@
" Vim syntax file
" Language: ESTEREL
" Maintainer: Maurizio Tranchero <maurizio.tranchero@polito.it> - <maurizio.tranchero@gmail.com>
" Credits: Luca Necchi <luca.necchi@polito.it>
" Credits: Luca Necchi <luca.necchi@polito.it>, Nikos Andrikos <nick.andrik@gmail.com>
" First Release: Tue May 17 23:49:39 CEST 2005
" Last Change: Sat Apr 22 14:56:41 CEST 2006
" Version: 0.5
" Last Change: Tue May 6 13:29:56 CEST 2008
" Version: 0.8
" For version 5.x: Clear all syntax items
" For version 6.x: Quit when a syntax file was already loaded
@ -28,7 +28,7 @@ syn region esterelIfThen start=/if/ end=/then/ oneline
" Esterel Keywords
syn keyword esterelIO input output inputoutput constant
syn keyword esterelBoolean and or not xor xnor nor nand
syn keyword esterelExpressions mod
syn keyword esterelExpressions mod pre
syn keyword esterelStatement nothing halt
syn keyword esterelStatement module signal sensor end
syn keyword esterelStatement every do loop abort weak
@ -43,20 +43,26 @@ syn keyword esterelStatement relation
syn keyword esterelFunctions function procedure task
syn keyword esterelSysCall call trap exit exec
" Esterel Types
syn keyword esterelType integer float bolean
syn keyword esterelType integer float bolean
" Esterel Comment
syn match esterelComment "%.*$"
syn match esterelComment "%.*$"
" Operators and special characters
syn match esterelSpecial ":"
syn match esterelSpecial "<="
syn match esterelSpecial ">="
syn match esterelSpecial ";"
syn match esterelOperator "\["
syn match esterelOperator "\]"
syn match esterelOperator ":="
syn match esterelStatement "\<\(if\|else\)\>"
syn match esterelNone "\<else\s\+if\>$"
syn match esterelNone "\<else\s\+if\>\s"
syn match esterelSpecial ":"
syn match esterelSpecial "<="
syn match esterelSpecial ">="
syn match esterelSpecial "+"
syn match esterelSpecial "-"
syn match esterelSpecial "="
syn match esterelSpecial ";"
syn match esterelSpecial "/"
syn match esterelSpecial "?"
syn match esterelOperator "\["
syn match esterelOperator "\]"
syn match esterelOperator ":="
syn match esterelOperator "||"
syn match esterelStatement "\<\(if\|else\)\>"
syn match esterelNone "\<else\s\+if\>$"
syn match esterelNone "\<else\s\+if\>\s"
" Class Linking
if version >= 508 || !exists("did_esterel_syntax_inits")

View file

@ -1,8 +1,8 @@
" Vim syntax file
" Vim syntax file for Fvwm-2.5.22
" Language: Fvwm{1,2} configuration file
" Maintainer: Gautam Iyer <gi1242@users.sourceforge.net>
" Previous Maintainer: Haakon Riiser <hakonrk@fys.uio.no>
" Last Change: Sat 04 Nov 2006 11:28:37 PM PST
" Last Change: Sat 29 Sep 2007 11:08:34 AM PDT
"
" Thanks to David Necas (Yeti) for adding Fvwm 2.4 support.
"
@ -43,7 +43,9 @@ syn match fvwmRGBValue "#\x\{9}"
syn match fvwmRGBValue "#\x\{12}"
syn match fvwmRGBValue "rgb:\x\{1,4}/\x\{1,4}/\x\{1,4}"
syn region fvwmComment contains=@Spell start="^\s*#" skip='\\$' end='$'
syn region fvwmComment contains=@Spell
\ start='^\s*#\s' skip='\\$' end='$'
syn region fvwmComment start="\v^\s*#(\S|$)" skip='\\$' end='$'
if (exists("b:fvwm_version") && b:fvwm_version == 1)
\ || (exists("use_fvwm_1") && use_fvwm_1)
@ -130,12 +132,16 @@ else
syn match fvwmShortcutKey contained "&."
syn keyword fvwmModuleName FvwmAnimate FvwmAudio FvwmAuto FvwmBacker
\ FvwmBanner FvwmButtons FvwmCommandS
\ FvwmConsole FvwmCpp FvwmDebug FvwmDragWell
\ FvwmEvent FvwmForm FvwmGtk FvwmIconBox
\ FvwmBanner FvwmButtons FvwmCascade
\ FvwmCommandS FvwmConsole FvwmConsoleC
\ FvwmCpp FvwmDebug FvwmDragWell FvwmEvent
\ FvwmForm FvwmGtkDebug FvwmIconBox
\ FvwmIconMan FvwmIdent FvwmM4 FvwmPager
\ FvwmSave FvwmSaveDesk FvwmScript FvwmScroll
\ FvwmTaskBar FvwmWinList FvwmWharf
\ FvwmPerl FvwmProxy FvwmRearrange FvwmSave
\ FvwmSaveDesk FvwmScript FvwmScroll FvwmTabs
\ FvwmTalk FvwmTaskBar FvwmTheme FvwmTile
\ FvwmWharf FvwmWindowMenu FvwmWinList
" Obsolete fvwmModuleName: FvwmTheme
syn keyword fvwmKeyword AddToMenu ChangeMenuStyle CopyMenuStyle
@ -162,21 +168,22 @@ else
\ WindowShadeAnimate IgnoreModifiers
\ EdgeCommand EdgeLeaveCommand GnomeButton
\ Stroke StrokeFunc FocusStyle DestroyStyle
\ UpdateStyles AddToDecor BorderStyle
\ ChangeDecor DestroyDecor UpdateDecor
\ DesktopName DeskTopSize EdgeResistance
\ EdgeScroll EdgeThickness EwmhBaseStruts
\ EWMHNumberOfDesktops GotoDeskAndPage
\ GotoPage Scroll Xinerama
\ DestroyWindowStyle UpdateStyles AddToDecor
\ BorderStyle ChangeDecor DestroyDecor
\ UpdateDecor DesktopName DeskTopSize
\ EdgeResistance EdgeScroll EdgeThickness
\ EwmhBaseStruts EWMHNumberOfDesktops
\ GotoDeskAndPage GotoPage Scroll Xinerama
\ XineramaPrimaryScreen XineramaSls
\ XineramaSlsSize XineramaSlsScreens AddToFunc
\ Beep DestroyFunc Echo Exec ExecUseShell
\ Function Nop PipeRead Read SetEnv Silent
\ UnsetEnv Wait DestroyModuleConfig KillModule
\ Module ModuleSynchronous ModuleTimeout
\ SendToModule Quit QuitScreen QuitSession
\ Restart SaveSession SaveQuitSession KeepRc
\ NoWindow Break CleanupColorsets
\ Module ModuleListenOnly ModuleSynchronous
\ ModuleTimeout SendToModule Quit QuitScreen
\ QuitSession Restart SaveSession
\ SaveQuitSession KeepRc NoWindow Break
\ CleanupColorsets EchoFuncDefinition
" Conditional commands
syn keyword fvwmKeyword nextgroup=fvwmCondition skipwhite
@ -200,9 +207,12 @@ else
\ CurrentPageAnyDesk CurrentScreen FixedSize
\ Focused HasHandles HasPointer Iconic
\ Iconifiable Maximizable Maximized
\ Overlapped PlacedByButton3 PlacedByFvwm Raised
\ Shaded Sticky StickyAcrossDesks
\ StickyAcrossPages Transient Visible
\ Overlapped PlacedByButton PlacedByButton3
\ PlacedByFvwm Raised Shaded Sticky
\ StickyAcrossDesks StickyAcrossPages
\ Transient Visible StickyIcon
\ StickyAcrossPagesIcon StickyAcrossDesksIcon
syn keyword fvwmCondNames contained skipwhite nextgroup=@fvwmConstants
\ State Layer
@ -288,7 +298,7 @@ else
\ MinOverlapPlacement
\ MinOverlapPercentPlacement
\ TileManualPlacement TileCascadePlacement
\ CenterPlacement MinOverlapPlacementPenalties
\ MinOverlapPlacementPenalties
\ MinOverlapPercentPlacementPenalties
\ DecorateTransient NakedTransient
\ DontRaiseTransient RaiseTransient
@ -353,7 +363,8 @@ else
\ EWMHUseStackingOrderHints
\ EWMHIgnoreStackingOrderHints
\ EWMHIgnoreStateHints EWMHUseStateHints
\ EWMHIgnoreStrutHints EWMHUseStrutHints
\ EWMHIgnoreStrutHints EWMHIgnoreWindowType
\ EWMHUseStrutHints
\ EWMHMaximizeIgnoreWorkingArea
\ EWMHMaximizeUseWorkingArea
\ EWMHMaximizeUseDynamicWorkingArea
@ -361,6 +372,14 @@ else
\ EWMHPlacementUseWorkingArea
\ EWMHPlacementUseDynamicWorkingArea
\ MoveByProgramMethod Unmanaged State
\ StippledIconTitle StickyStippledTitle
\ StickyStippledIconTitle
\ PositionPlacement
\ UnderMousePlacementHonorsStartsOnPage
\ UnderMousePlacementIgnoresStartsOnPage
\ MinOverlapPlacementPenalties
\ MinOverlapPercentPlacementPenalties
\ MinWindowSize StartShaded
" Cursor styles
syn keyword fvwmKeyword nextgroup=fvwmCursorStyle skipwhite
@ -400,6 +419,7 @@ else
\ SelectOnRelease ItemFormat
\ VerticalItemSpacing VerticalTitleSpacing
\ AutomaticHotkeys AutomaticHotkeysOff
\ TitleFont TitleColorset HilightTitleBack
" Button style
syn keyword fvwmKeyword nextgroup=fvwmBNum skipwhite

View file

@ -1,7 +1,7 @@
" Vim syntax file
" Language: indent(1) configuration file
" Maintainer: Nikolai Weibull <now@bitwi.se>
" Latest Revision: 2007-05-10
" Latest Revision: 2007-06-17
" indent_is_bsd: If exists, will change somewhat to match BSD implementation
"
" TODO: is the deny-all (a la lilo.vim nice or no?)...
@ -15,7 +15,7 @@ endif
let s:cpo_save = &cpo
set cpo&vim
setlocal iskeyword=@,48-57,-,+,_
setlocal iskeyword+=-,+
syn match indentError '\S\+'

View file

@ -2,7 +2,7 @@
" Language: Java
" Maintainer: Claudio Fleiner <claudio@fleiner.com>
" URL: http://www.fleiner.com/vim/syntax/java.vim
" Last Change: 2006 Apr 30
" Last Change: 2007 Dec 21
" Please check :help java.vim for comments on some of the options available.
@ -121,6 +121,11 @@ syn match javaUserLabel "^\s*[_$a-zA-Z][_$a-zA-Z0-9_]*\s*:"he=e-1 contai
syn keyword javaLabel default
if !exists("java_allow_cpp_keywords")
" The default used to be to highlight C++ keywords. But several people
" don't like that, so default to not highlighting these.
let java_allow_cpp_keywords = 1
endif
if !java_allow_cpp_keywords
syn keyword javaError auto delete extern friend inline redeclared
syn keyword javaError register signed sizeof struct template typedef union
syn keyword javaError unsigned operator

View file

@ -3,7 +3,7 @@
" Maintainer: Nam SungHyun <namsh@kldp.org>
" Previous Maintainer: Gautam H. Mudunuri <gmudunur@informatica.com>
" Version Info:
" Last Change: 2004 May 16
" Last Change: 2007 Dec 30
" Additional highlighting by Johannes Tanzler <johannes.tanzler@aon.at>:
" * manSubHeading
@ -36,7 +36,7 @@ syn match manLongOptionDesc "^\s*--[a-z0-9-]\S*"
if getline(1) =~ '^[a-zA-Z_]\+([23])'
syntax include @cCode <sfile>:p:h/c.vim
syn match manCFuncDefinition display "\<\h\w*\>\s*("me=e-1 contained
syn region manSynopsis start="^SYNOPSIS"hs=s+8 end="^\u\+\s*$"he=e-12 keepend contains=manSectionHeading,@cCode,manCFuncDefinition
syn region manSynopsis start="^SYNOPSIS"hs=s+8 end="^\u\+\s*$"me=e-12 keepend contains=manSectionHeading,@cCode,manCFuncDefinition
endif

View file

@ -1,7 +1,7 @@
" Vim syntax file
" Language: mplayer(1) configuration file
" Maintainer: Nikolai Weibull <now@bitwi.se>
" Latest Revision: 2006-04-19
" Latest Revision: 2007-06-17
if exists("b:current_syntax")
finish
@ -10,7 +10,7 @@ endif
let s:cpo_save = &cpo
set cpo&vim
setlocal iskeyword=@,48-57,-
setlocal iskeyword+=-
syn keyword mplayerconfTodo contained TODO FIXME XXX NOTE

View file

@ -2,9 +2,9 @@
" Language: Mutt setup files
" Original: Preben 'Peppe' Guldberg <peppe-vim@wielders.org>
" Maintainer: Kyle Wheeler <kyle-muttrc.vim@memoryhole.net>
" Last Change: 5 Mar 2007
" Last Change: 15 Aug 2007
" This file covers mutt version 1.5.14 (and most of CVS HEAD)
" This file covers mutt version 1.5.16 (and most of CVS HEAD)
" Included are also a few features from 1.4.2.1
" For version 5.x: Clear all syntax items
@ -30,18 +30,19 @@ syn match muttrcComment "[^\\]#.*$"lc=1
" Escape sequences (back-tick and pipe goes here too)
syn match muttrcEscape +\\[#tnr"'Cc ]+
syn match muttrcEscape +[`|]+
syn match muttrcEscape +\\$+
" The variables takes the following arguments
syn match muttrcString "=\s*[^ #"'`]\+"lc=1 contains=muttrcEscape
syn region muttrcString start=+"+ms=e skip=+\\"+ end=+"+ contains=muttrcEscape,muttrcSet,muttrcUnset,muttrcReset,muttrcToggle,muttrcCommand,muttrcAction
syn region muttrcString start=+"+ms=e skip=+\\"+ end=+"+ contains=muttrcEscape,muttrcSet,muttrcUnset,muttrcReset,muttrcToggle,muttrcCommand,muttrcAction,muttrcShellString
syn region muttrcString start=+'+ms=e skip=+\\'+ end=+'+ contains=muttrcEscape,muttrcSet,muttrcUnset,muttrcReset,muttrcToggle,muttrcCommand,muttrcAction
syn region muttrcShellString matchgroup=muttrcEscape keepend start=+`+ skip=+\\`+ end=+`+ contains=muttrcVarStr,muttrcVarBool,muttrcVarQuad,muttrcVarNum,muttrcCommand,muttrcSet
syn match muttrcRXChars contained /[^\\][][.*?+]\+/hs=s+1
syn match muttrcRXChars contained /[][|()][.*?+]*/
syn match muttrcRXChars contained /'^/ms=s+1
syn match muttrcRXChars contained /$'/me=e-1
syn match muttrcRXChars contained /['"]^/ms=s+1
syn match muttrcRXChars contained /$['"]/me=e-1
syn match muttrcRXChars contained /\\/
" Why does muttrcRXString2 work with one \ when muttrcRXString requires two?
syn region muttrcRXString contained start=+'+ skip=+\\'+ end=+'+ contains=muttrcRXChars
@ -78,21 +79,21 @@ syn match muttrcKeyName contained "\c<\%(BackSpace\|Delete\|Down\|End\|Enter\|
syn keyword muttrcVarBool contained allow_8bit allow_ansi arrow_cursor ascii_chars askbcc
syn keyword muttrcVarBool contained askcc attach_split auto_tag autoedit beep beep_new
syn keyword muttrcVarBool contained bounce_delivered braille_friendly check_new collapse_unread
syn keyword muttrcVarBool contained bounce_delivered braille_friendly check_new check_mbox_size collapse_unread
syn keyword muttrcVarBool contained confirmappend confirmcreate crypt_autoencrypt crypt_autopgp
syn keyword muttrcVarBool contained crypt_autosign crypt_autosmime crypt_replyencrypt
syn keyword muttrcVarBool contained crypt_replysign crypt_replysignencrypted crypt_timestamp
syn keyword muttrcVarBool contained crypt_use_gpgme delete_untag digest_collapse duplicate_threads
syn keyword muttrcVarBool contained crypt_use_gpgme crypt_use_pka delete_untag digest_collapse duplicate_threads
syn keyword muttrcVarBool contained edit_hdrs edit_headers encode_from envelope_from fast_reply
syn keyword muttrcVarBool contained fcc_attach fcc_clear followup_to force_name forw_decode
syn keyword muttrcVarBool contained forw_decrypt forw_quote forward_decode forward_decrypt
syn keyword muttrcVarBool contained forward_quote hdrs header help hidden_host hide_limited
syn keyword muttrcVarBool contained hide_missing hide_thread_subject hide_top_limited
syn keyword muttrcVarBool contained hide_top_missing ignore_list_reply_to imap_check_subscribed
syn keyword muttrcVarBool contained hide_top_missing ignore_linear_white_space ignore_list_reply_to imap_check_subscribed
syn keyword muttrcVarBool contained imap_list_subscribed imap_passive imap_peek imap_servernoise
syn keyword muttrcVarBool contained implicit_autoview include_onlyfirst keep_flagged
syn keyword muttrcVarBool contained mailcap_sanitize maildir_header_cache_verify maildir_trash
syn keyword muttrcVarBool contained mark_old markers menu_move_off menu_scroll meta_key
syn keyword muttrcVarBool contained mark_old markers menu_move_off menu_scroll message_cache_clean meta_key
syn keyword muttrcVarBool contained metoo mh_purge mime_forward_decode narrow_tree pager_stop
syn keyword muttrcVarBool contained pgp_auto_decode pgp_auto_traditional pgp_autoencrypt
syn keyword muttrcVarBool contained pgp_autoinline pgp_autosign pgp_check_exit
@ -206,37 +207,133 @@ syn keyword muttrcVarNum contained connect_timeout history imap_keepalive mail_c
syn keyword muttrcVarNum contained pager_context pager_index_lines pgp_timeout pop_checkinterval read_inc
syn keyword muttrcVarNum contained save_history score_threshold_delete score_threshold_flag
syn keyword muttrcVarNum contained score_threshold_read sendmail_wait sleep_time smime_timeout
syn keyword muttrcVarNum contained ssl_min_dh_prime_bits timeout wrap wrapmargin write_inc
syn keyword muttrcVarNum contained ssl_min_dh_prime_bits timeout time_inc wrap wrapmargin write_inc
syn match muttrcStrftimeEscapes contained /%[AaBbCcDdeFGgHhIjklMmnpRrSsTtUuVvWwXxYyZz+%]/
syn match muttrcStrftimeEscapes contained /%E[cCxXyY]/
syn match muttrcStrftimeEscapes contained /%O[BdeHImMSuUVwWy]/
syn match muttrcFormatErrors contained /%./
syn region muttrcIndexFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcIndexFormatEscapes,muttrcIndexFormatConditionals,muttrcFormatErrors,muttrcTimeEscapes
syn region muttrcIndexFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcIndexFormatEscapes,muttrcIndexFormatConditionals,muttrcFormatErrors,muttrcTimeEscapes
syn region muttrcAliasFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcAliasFormatEscapes,muttrcFormatErrors
syn region muttrcAliasFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcAliasFormatEscapes,muttrcFormatErrors
syn region muttrcAttachFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcAttachFormatEscapes,muttrcAttachFormatConditionals,muttrcFormatErrors
syn region muttrcAttachFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcAttachFormatEscapes,muttrcAttachFormatConditionals,muttrcFormatErrors
syn region muttrcComposeFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcComposeFormatEscapes,muttrcFormatErrors
syn region muttrcComposeFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcComposeFormatEscapes,muttrcFormatErrors
syn region muttrcFolderFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcFolderFormatEscapes,muttrcFolderFormatConditionals,muttrcFormatErrors
syn region muttrcFolderFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcFolderFormatEscapes,muttrcFolderFormatConditionals,muttrcFormatErrors
syn region muttrcMixFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcMixFormatEscapes,muttrcMixFormatConditionals,muttrcFormatErrors
syn region muttrcMixFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcMixFormatEscapes,muttrcMixFormatConditionals,muttrcFormatErrors
syn region muttrcPGPFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPGPFormatEscapes,muttrcPGPFormatConditionals,muttrcFormatErrors,muttrcPGPTimeEscapes
syn region muttrcPGPFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPGPFormatEscapes,muttrcPGPFormatConditionals,muttrcFormatErrors,muttrcPGPTimeEscapes
syn region muttrcPGPCmdFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPGPCmdFormatEscapes,muttrcPGPCmdFormatConditionals,muttrcVariable,muttrcFormatErrors
syn region muttrcPGPCmdFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPGPCmdFormatEscapes,muttrcPGPCmdFormatConditionals,muttrcVariable,muttrcFormatErrors
syn region muttrcStatusFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcStatusFormatEscapes,muttrcStatusFormatConditionals,muttrcFormatErrors
syn region muttrcStatusFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcStatusFormatEscapes,muttrcStatusFormatConditionals,muttrcFormatErrors
syn region muttrcPGPGetKeysFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPGPGetKeysFormatEscapes,muttrcFormatErrors
syn region muttrcPGPGetKeysFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPGPGetKeysFormatEscapes,muttrcFormatErrors
syn region muttrcSmimeFormatStr contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcSmimeFormatEscapes,muttrcSmimeFormatConditionals,muttrcVariable,muttrcFormatErrors
syn region muttrcSmimeFormatStr contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcSmimeFormatEscapes,muttrcSmimeFormatConditionals,muttrcVariable,muttrcFormatErrors
" The following info was pulled from hdr_format_str in hdrline.c
syn match muttrcIndexFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[aAbBcCdDeEfFHilLmMnNOPsStTuvXyYZ%]/
syn match muttrcIndexFormatConditionals contained /%?[EFHlLMNOXyY]?/ nextgroup=muttrcFormatConditionals2
" The following info was pulled from alias_format_str in addrbook.c
syn match muttrcAliasFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[afnrt%]/
" The following info was pulled from mutt_attach_fmt in recvattach.c
syn match muttrcAttachFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[CcDdefImMnQstTuX%]/
syn match muttrcAttachFormatEscapes contained /%[>|*]./
syn match muttrcAttachFormatConditionals contained /%?[CcdDefInmMQstTuX]?/ nextgroup=muttrcFormatConditionals2
syn match muttrcFormatConditionals2 contained /[^?]*?/
" The following info was pulled from compose_format_str in compose.c
syn match muttrcComposeFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[ahlv%]/
syn match muttrcComposeFormatEscapes contained /%[>|*]./
" The following info was pulled from folder_format_str in browser.c
syn match muttrcFolderFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[CdfFglNstu%]/
syn match muttrcFolderFormatEscapes contained /%[>|*]./
syn match muttrcFolderFormatConditionals contained /%?[N]?/
" The following info was pulled from mix_entry_fmt in remailer.c
syn match muttrcMixFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[ncsa%]/
syn match muttrcMixFormatConditionals contained /%?[ncsa]?/
" The following info was pulled from crypt_entry_fmt in crypt-gpgme.c
" and pgp_entry_fmt in pgpkey.c (note that crypt_entry_fmt supports
" 'p', but pgp_entry_fmt does not).
syn match muttrcPGPFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[nkualfctp%]/
syn match muttrcPGPFormatConditionals contained /%?[nkualfct]?/
" The following info was pulled from _mutt_fmt_pgp_command in
" pgpinvoke.c
syn match muttrcPGPCmdFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[pfsar%]/
syn match muttrcPGPCmdFormatConditionals contained /%?[pfsar]?/ nextgroup=muttrcFormatConditionals2
" The following info was pulled from status_format_str in status.c
syn match muttrcStatusFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[bdfFhlLmMnopPrsStuvV%]/
syn match muttrcStatusFormatEscapes contained /%[>|*]./
syn match muttrcStatusFormatConditionals contained /%?[bdFlLmMnoptuV]?/ nextgroup=muttrcFormatConditionals2
" This matches the documentation, but directly contradicts the code
" (according to the code, this should be identical to the
" muttrcPGPCmdFormatEscapes
syn match muttrcPGPGetKeysFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[r%]/
" The following info was pulled from _mutt_fmt_smime_command in
" smime.c
syn match muttrcSmimeFormatEscapes contained /%\%(\%(-\?[0-9]\+\)\?\%(\.[0-9]\+\)\?\)\?[:_]\?[Cciskaf%]/
syn match muttrcSmimeFormatConditionals contained /%?[Cciskaf]?/ nextgroup=muttrcFormatConditionals2
syn region muttrcTimeEscapes contained start=+%{+ end=+}+ contains=muttrcStrftimeEscapes
syn region muttrcTimeEscapes contained start=+%\[+ end=+\]+ contains=muttrcStrftimeEscapes
syn region muttrcTimeEscapes contained start=+%(+ end=+)+ contains=muttrcStrftimeEscapes
syn region muttrcTimeEscapes contained start=+%<+ end=+>+ contains=muttrcStrftimeEscapes
syn region muttrcPGPTimeEscapes contained start=+%\[+ end=+\]+ contains=muttrcStrftimeEscapes
syn keyword muttrcVarStr contained attribution index_format message_format pager_format nextgroup=muttrcVarEqualsIdxFmt
syn match muttrcVarEqualsIdxFmt contained "=" nextgroup=muttrcIndexFormatStr
syn keyword muttrcVarStr contained alias_format nextgroup=muttrcVarEqualsAliasFmt
syn match muttrcVarEqualsAliasFmt contained "=" nextgroup=muttrcAliasFormatStr
syn keyword muttrcVarStr contained attach_format nextgroup=muttrcVarEqualsAttachFmt
syn match muttrcVarEqualsAttachFmt contained "=" nextgroup=muttrcAttachFormatStr
syn keyword muttrcVarStr contained compose_format nextgroup=muttrcVarEqualsComposeFmt
syn match muttrcVarEqualsComposeFmt contained "=" nextgroup=muttrcComposeFormatStr
syn keyword muttrcVarStr contained folder_format nextgroup=muttrcVarEqualsFolderFmt
syn match muttrcVarEqualsFolderFmt contained "=" nextgroup=muttrcFolderFormatStr
syn keyword muttrcVarStr contained mix_entry_format nextgroup=muttrcVarEqualsMixFmt
syn match muttrcVarEqualsMixFmt contained "=" nextgroup=muttrcMixFormatStr
syn keyword muttrcVarStr contained pgp_entry_format nextgroup=muttrcVarEqualsPGPFmt
syn match muttrcVarEqualsPGPFmt contained "=" nextgroup=muttrcPGPFormatStr
syn keyword muttrcVarStr contained pgp_decode_command pgp_verify_command pgp_decrypt_command pgp_clearsign_command pgp_sign_command pgp_encrypt_sign_command pgp_encrypt_only_command pgp_import_command pgp_export_command pgp_verify_key_command pgp_list_secring_command pgp_list_pubring_command nextgroup=muttrcVarEqualsPGPCmdFmt
syn match muttrcVarEqualsPGPCmdFmt contained "=" nextgroup=muttrcPGPCmdFormatStr
syn keyword muttrcVarStr contained status_format nextgroup=muttrcVarEqualsStatusFmt
syn match muttrcVarEqualsStatusFmt contained "=" nextgroup=muttrcStatusFormatStr
syn keyword muttrcVarStr contained pgp_getkeys_command nextgroup=muttrcVarEqualsPGPGetKeysFmt
syn match muttrcVarEqualsPGPGetKeysFmt contained "=" nextgroup=muttrcPGPGetKeysFormatStr
syn keyword muttrcVarStr contained smime_decrypt_command smime_verify_command smime_verify_opaque_command smime_sign_command smime_sign_opaque_command smime_encrypt_command smime_pk7out_command smime_get_cert_command smime_get_signer_cert_command smime_import_cert_command smime_get_cert_email_command nextgroup=muttrcVarEqualsSmimeFmt
syn match muttrcVarEqualsSmimeFmt contained "=" nextgroup=muttrcSmimeFormatStr
syn match muttrcVarStr contained 'my_[a-zA-Z0-9_]\+'
syn keyword muttrcVarStr contained alias_file alias_format assumed_charset attach_format attach_sep attribution
syn keyword muttrcVarStr contained certificate_file charset compose_format config_charset content_type
syn keyword muttrcVarStr contained alias_file assumed_charset attach_charset attach_sep
syn keyword muttrcVarStr contained certificate_file charset config_charset content_type
syn keyword muttrcVarStr contained date_format default_hook display_filter dotlock_program dsn_notify
syn keyword muttrcVarStr contained dsn_return editor entropy_file envelope_from_address escape folder
syn keyword muttrcVarStr contained folder_format forw_format forward_format from gecos_mask hdr_format
syn keyword muttrcVarStr contained forw_format forward_format from gecos_mask hdr_format
syn keyword muttrcVarStr contained header_cache header_cache_pagesize history_file hostname imap_authenticators
syn keyword muttrcVarStr contained imap_delim_chars imap_headers imap_home_namespace imap_idle imap_login imap_pass
syn keyword muttrcVarStr contained imap_user indent_str indent_string index_format ispell locale mailcap_path
syn keyword muttrcVarStr contained mask mbox mbox_type message_format message_cachedir mh_seq_flagged mh_seq_replied
syn keyword muttrcVarStr contained mh_seq_unseen mix_entry_format mixmaster msg_format pager pager_format
syn keyword muttrcVarStr contained pgp_clearsign_command pgp_decode_command pgp_decrypt_command
syn keyword muttrcVarStr contained pgp_encrypt_only_command pgp_encrypt_sign_command pgp_entry_format
syn keyword muttrcVarStr contained pgp_export_command pgp_getkeys_command pgp_good_sign pgp_import_command
syn keyword muttrcVarStr contained pgp_list_pubring_command pgp_list_secring_command pgp_mime_signature_filename
syn keyword muttrcVarStr contained imap_delim_chars imap_headers imap_idle imap_login imap_pass
syn keyword muttrcVarStr contained imap_user indent_str indent_string ispell locale mailcap_path
syn keyword muttrcVarStr contained mask mbox mbox_type message_cachedir mh_seq_flagged mh_seq_replied
syn keyword muttrcVarStr contained mh_seq_unseen mixmaster msg_format pager
syn keyword muttrcVarStr contained pgp_good_sign
syn keyword muttrcVarStr contained pgp_mime_signature_filename
syn keyword muttrcVarStr contained pgp_mime_signature_description pgp_sign_as
syn keyword muttrcVarStr contained pgp_sign_command pgp_sort_keys pgp_verify_command pgp_verify_key_command
syn keyword muttrcVarStr contained pgp_sort_keys
syn keyword muttrcVarStr contained pipe_sep pop_authenticators pop_host pop_pass pop_user post_indent_str
syn keyword muttrcVarStr contained post_indent_string postponed preconnect print_cmd print_command
syn keyword muttrcVarStr contained query_command quote_regexp realname record reply_regexp send_charset
syn keyword muttrcVarStr contained sendmail shell signature simple_search smileys smime_ca_location
syn keyword muttrcVarStr contained smime_certificates smime_decrypt_command smime_default_key
syn keyword muttrcVarStr contained smime_encrypt_command smime_encrypt_with smime_get_cert_command
syn keyword muttrcVarStr contained smime_get_cert_email_command smime_get_signer_cert_command
syn keyword muttrcVarStr contained smime_import_cert_command smime_keys smime_pk7out_command smime_sign_as
syn keyword muttrcVarStr contained smime_sign_command smime_sign_opaque_command smime_verify_command
syn keyword muttrcVarStr contained smime_verify_opaque_command smtp_url smtp_authenticators sort sort_alias sort_aux
syn keyword muttrcVarStr contained smime_certificates smime_default_key
syn keyword muttrcVarStr contained smime_encrypt_with
syn keyword muttrcVarStr contained smime_keys smime_sign_as
syn keyword muttrcVarStr contained smtp_url smtp_authenticators smtp_pass sort sort_alias sort_aux
syn keyword muttrcVarStr contained sort_browser spam_separator spoolfile ssl_ca_certificates_file ssl_client_cert
syn keyword muttrcVarStr contained status_chars status_format tmpdir to_chars tunnel visual
syn keyword muttrcVarStr contained status_chars tmpdir to_chars tunnel visual
" Present in 1.4.2.1 (pgp_create_traditional was a bool then)
syn keyword muttrcVarBool contained imap_force_ssl imap_force_ssl noinvimap_force_ssl
@ -372,12 +469,13 @@ syn match muttrcUnAlias /^\s*unalias\s\?/ nextgroup=muttrcUnAliasKey,muttrcUnAl
syn match muttrcSimplePat contained "!\?\^\?[~][ADEFgGklNOpPQRSTuUvV=$]"
syn match muttrcSimplePat contained "!\?\^\?[~][mnXz]\s\+\%([<>-][0-9]\+\|[0-9]\+[-][0-9]*\)"
syn match muttrcSimplePat contained "!\?\^\?[~][dr]\s\+\%(\%(-\?[0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)\|\%(\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)-\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)\?\)\?\)\|\%([<>=][0-9]\+[ymwd]\)\)"
syn match muttrcSimplePat contained "!\?\^\?[~][dr]\s\+\%(\%(-\?[0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)\|\%(\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)*\)-\%([0-9]\{1,2}\%(/[0-9]\{1,2}\%(/[0-9]\{2}\%([0-9]\{2}\)\?\)\?\)\?\%([+*-][0-9]\+[ymwd]\)\?\)\?\)\|\%([<>=][0-9]\+[ymwd]\)\|\%(`[^`]\+`\)\|\%(\$[a-zA-Z0-9_-]\+\)\)" contains=muttrcShellString,muttrcVariable
syn match muttrcSimplePat contained "!\?\^\?[~][bBcCefhHiLstxy]\s\+" nextgroup=muttrcSimplePatRXContainer
syn match muttrcSimplePat contained "!\?\^\?[%][bBcCefhHiLstxy]\s\+" nextgroup=muttrcSimplePatString
syn match muttrcSimplePat contained "!\?\^\?[=][bh]\s\+" nextgroup=muttrcSimplePatString
"syn match muttrcSimplePat contained /"[^~=%][^"]*/ contains=muttrcRXPat
"syn match muttrcSimplePat contained /'[^~=%][^']*/ contains=muttrcRXPat
syn region muttrcSimplePat contained keepend start=+!\?\^\?[~](+ end=+)+ contains=muttrcSimplePat
"syn match muttrcSimplePat contained /'[^~=%][^']*/
"contains=muttrcRXPat
syn match muttrcSimplePatString contained /[a-zA-Z0-9]\+/
syn region muttrcSimplePatString contained keepend start=+"+ end=+"+ skip=+\\"+
syn region muttrcSimplePatString contained keepend start=+'+ end=+'+ skip=+\\'+
@ -388,7 +486,7 @@ syn match muttrcSimplePatMetas contained /[(|)]/
syn region muttrcPattern contained keepend start=+"+ skip=+\\"+ end=+"+ contains=muttrcPatternInner
syn region muttrcPattern contained keepend start=+'+ skip=+\\'+ end=+'+ contains=muttrcPatternInner
syn match muttrcPattern contained "[~][A-Za-z]" contains=muttrcSimplePat
syn match muttrcPattern contained "[~]\([A-Za-z]\|([^)]\+)\)" contains=muttrcSimplePat
syn region muttrcPatternInner contained keepend start=+"[~=%!(^]+ms=s+1 skip=+\\"+ end=+"+me=e-1 contains=muttrcSimplePat,muttrcUnHighlightSpace,muttrcSimplePatMetas
syn region muttrcPatternInner contained keepend start=+'[~=%!(^]+ms=s+1 skip=+\\'+ end=+'+me=e-1 contains=muttrcSimplePat,muttrcUnHighlightSpace,muttrcSimplePatMetas
@ -535,6 +633,41 @@ if version >= 508 || !exists("did_muttrc_syntax_inits")
HiLink muttrcRXHookNot Type
HiLink muttrcPatHooks muttrcCommand
HiLink muttrcPatHookNot Type
HiLink muttrcFormatConditionals2 Type
HiLink muttrcIndexFormatStr muttrcString
HiLink muttrcIndexFormatEscapes muttrcEscape
HiLink muttrcIndexFormatConditionals muttrcFormatConditionals2
HiLink muttrcAliasFormatStr muttrcString
HiLink muttrcAliasFormatEscapes muttrcEscape
HiLink muttrcAttachFormatStr muttrcString
HiLink muttrcAttachFormatEscapes muttrcEscape
HiLink muttrcAttachFormatConditionals muttrcFormatConditionals2
HiLink muttrcComposeFormatStr muttrcString
HiLink muttrcComposeFormatEscapes muttrcEscape
HiLink muttrcFolderFormatStr muttrcString
HiLink muttrcFolderFormatEscapes muttrcEscape
HiLink muttrcFolderFormatConditionals muttrcFormatConditionals2
HiLink muttrcMixFormatStr muttrcString
HiLink muttrcMixFormatEscapes muttrcEscape
HiLink muttrcMixFormatConditionals muttrcFormatConditionals2
HiLink muttrcPGPFormatStr muttrcString
HiLink muttrcPGPFormatEscapes muttrcEscape
HiLink muttrcPGPFormatConditionals muttrcFormatConditionals2
HiLink muttrcPGPCmdFormatStr muttrcString
HiLink muttrcPGPCmdFormatEscapes muttrcEscape
HiLink muttrcPGPCmdFormatConditionals muttrcFormatConditionals2
HiLink muttrcStatusFormatStr muttrcString
HiLink muttrcStatusFormatEscapes muttrcEscape
HiLink muttrcStatusFormatConditionals muttrcFormatConditionals2
HiLink muttrcPGPGetKeysFormatStr muttrcString
HiLink muttrcPGPGetKeysFormatEscapes muttrcEscape
HiLink muttrcSmimeFormatStr muttrcString
HiLink muttrcSmimeFormatEscapes muttrcEscape
HiLink muttrcSmimeFormatConditionals muttrcFormatConditionals2
HiLink muttrcTimeEscapes muttrcEscape
HiLink muttrcPGPTimeEscapes muttrcEscape
HiLink muttrcStrftimeEscapes Type
HiLink muttrcFormatErrors Error
HiLink muttrcBindFunctionNL SpecialChar
HiLink muttrcBindKeyNL SpecialChar
@ -564,4 +697,4 @@ endif
let b:current_syntax = "muttrc"
"EOF vim: ts=8 noet tw=100 sw=8 sts=0
"EOF vim: ts=8 noet tw=100 sw=8 sts=0 ft=vim

View file

@ -1,7 +1,10 @@
" Vim syntax file
" Language: po (gettext)
" Maintainer: Dwayne Bailey <dwayne@translate.org.za>
" Last Change: 2004 Nov 13
" Last Change: 2008 Jan 08
" Contributors: Dwayne Bailey (Most advanced syntax highlighting)
" Leonardo Fontenelle (Spell checking)
" Nam SungHyun <namsh@kldp.org> (Original maintainer)
" For version 5.x: Clear all syntax items
" For version 6.x: Quit when a syntax file was already loaded
@ -14,26 +17,30 @@ endif
syn sync minlines=10
" Identifiers
syn match poStatementMsgCTxt "^msgctxt"
syn match poStatementMsgidplural "^msgid_plural" contained
syn match poPluralCaseN "[0-9]" contained
syn match poStatementMsgstr "^msgstr\(\[[0-9]\]\)" contains=poPluralCaseN
" Simple HTML and XML highlighting
syn match poHtml "<[^<>]\+>" contains=poHtmlTranslatables
syn match poHtml "<\_[^<>]\+>" contains=poHtmlTranslatables,poLineBreak
syn match poHtmlNot +"<[^<]\+>"+ms=s+1,me=e-1
syn region poHtmlTranslatables start=+alt=\\"+ms=e-1 end=+\\"+ contained
syn region poHtmlTranslatables start=+\(abbr\|alt\|content\|summary\|standby\|title\)=\\"+ms=e-1 end=+\\"+ contained contains=@Spell
syn match poLineBreak +"\n"+ contained
" Translation blocks
syn region poMsgCTxt matchgroup=poStatementMsgCTxt start=+^msgctxt "+rs=e-1 matchgroup=poStringCTxt end=+^msgid "+me=s-1 contains=poStringCTxt
syn region poMsgID matchgroup=poStatementMsgid start=+^msgid "+rs=e-1 matchgroup=poStringID end=+^msgstr\(\|\[[\]0\[]\]\) "+me=s-1 contains=poStringID,poStatementMsgidplural,poStatementMsgid
syn region poMsgSTR matchgroup=poStatementMsgstr start=+^msgstr\(\|\[[\]0\[]\]\) "+rs=e-1 matchgroup=poStringSTR end=+\n\n+me=s-1 contains=poStringSTR,poStatementMsgstr
syn region poStringCTxt start=+"+ skip=+\\\\\|\\"+ end=+"+
syn region poStringID start=+"+ skip=+\\\\\|\\"+ end=+"+ contained
\ contains=poSpecial,poFormat,poCommentKDE,poPluralKDE,poKDEdesktopFile,poHtml,poAccelerator,poHtmlNot,poVariable
\ contains=poSpecial,poFormat,poCommentKDE,poPluralKDE,poKDEdesktopFile,poHtml,poAcceleratorId,poHtmlNot,poVariable
syn region poStringSTR start=+"+ skip=+\\\\\|\\"+ end=+"+ contained
\ contains=poSpecial,poFormat,poHeaderItem,poCommentKDEError,poHeaderUndefined,poPluralKDEError,poMsguniqError,poKDEdesktopFile,poHtml,poAccelerator,poHtmlNot,poVariable
\ contains=@Spell,poSpecial,poFormat,poHeaderItem,poCommentKDEError,poHeaderUndefined,poPluralKDEError,poMsguniqError,poKDEdesktopFile,poHtml,poAcceleratorStr,poHtmlNot,poVariable
" Header and Copyright
syn match poHeaderItem "\(Project-Id-Version\|Report-Msgid-Bugs-To\|POT-Creation-Date\|PO-Revision-Date\|Last-Translator\|Language-Team\|MIME-Version\|Content-Type\|Content-Transfer-Encoding\|Plural-Forms\|X-Generator\): " contained
syn match poHeaderUndefined "\(PACKAGE VERSION\|YEAR-MO-DA HO:MI+ZONE\|FULL NAME <EMAIL@ADDRESS>\|LANGUAGE <LL@li.org>\|text/plain; charset=CHARSET\|ENCODING\)" contained
syn match poHeaderUndefined "\(PACKAGE VERSION\|YEAR-MO-DA HO:MI+ZONE\|FULL NAME <EMAIL@ADDRESS>\|LANGUAGE <LL@li.org>\|CHARSET\|ENCODING\|INTEGER\|EXPRESSION\)" contained
syn match poCopyrightUnset "SOME DESCRIPTIVE TITLE\|FIRST AUTHOR <EMAIL@ADDRESS>, YEAR\|Copyright (C) YEAR Free Software Foundation, Inc\|YEAR THE PACKAGE\'S COPYRIGHT HOLDER\|PACKAGE" contained
" Translation comment block including: translator comment, automatic coments, flags and locations
@ -63,7 +70,8 @@ syn match poObsolete "^#\~.*$"
syn match poKDEdesktopFile "\"\(Name\|Comment\|GenericName\|Description\|Keywords\|About\)="ms=s+1,me=e-1
" Accelerator keys - this messes up if the preceding or following char is a multibyte unicode char
syn match poAccelerator contained "[^&_~][&_~]\(\a\|\d\)[^:]"ms=s+1,me=e-1
syn match poAcceleratorId contained "[^&_~][&_~]\(\a\|\d\)[^:]"ms=s+1,me=e-1
syn match poAcceleratorStr contained "[^&_~][&_~]\(\a\|\d\)[^:]"ms=s+1,me=e-1 contains=@Spell
" Variables simple
syn match poVariable contained "%\d"
@ -91,8 +99,10 @@ if version >= 508 || !exists("did_po_syn_inits")
HiLink poStatementMsgid Statement
HiLink poStatementMsgstr Statement
HiLink poStatementMsgidplural Statement
HiLink poStatementMsgCTxt Statement
HiLink poPluralCaseN Constant
HiLink poStringCTxt Comment
HiLink poStringID String
HiLink poStringSTR String
HiLink poCommentKDE Comment
@ -106,11 +116,13 @@ if version >= 508 || !exists("did_po_syn_inits")
HiLink poHtml Identifier
HiLink poHtmlNot String
HiLink poHtmlTranslatables String
HiLink poLineBreak String
HiLink poFormat poSpecial
HiLink poSpecial Special
HiLink poAccelerator Special
HiLink poVariable Special
HiLink poAcceleratorId Special
HiLink poAcceleratorStr Special
HiLink poVariable Special
HiLink poMsguniqError Special
HiLink poMsguniqErrorMarkers Comment

View file

@ -1,7 +1,7 @@
" Vim syntax file
" Language: readline(3) configuration file
" Maintainer: Nikolai Weibull <now@bitwi.se>
" Latest Revision: 2006-04-19
" Latest Revision: 2007-06-17
" readline_has_bash - if defined add support for bash specific
" settings/functions
@ -12,7 +12,7 @@ endif
let s:cpo_save = &cpo
set cpo&vim
setlocal iskeyword=@,48-57,-
setlocal iskeyword+=-
syn keyword readlineTodo contained TODO FIXME XXX NOTE

View file

@ -1,8 +1,8 @@
" Vim syntax file
" Language: R Help File
" Maintainer: Johannes Ranke <jranke@uni-bremen.de>
" Last Change: 2006 Apr 24
" Version: 0.7
" Last Change: 2008 Apr 10
" Version: 0.7.1
" SVN: $Id$
" Remarks: - Now includes R syntax highlighting in the appropriate
" sections if an r.vim file is in the same directory or in the
@ -107,6 +107,7 @@ syn match rhelpSection "\\tabular{[lcr]*}"
syn match rhelpSection "\\dontrun\>"
syn match rhelpSection "\\dontshow\>"
syn match rhelpSection "\\testonly\>"
syn match rhelpSection "\\donttest\>"
" Freely named Sections {{{1
syn region rhelpFreesec matchgroup=Delimiter start="\\section{" matchgroup=Delimiter transparent end=/}/

File diff suppressed because it is too large Load diff

View file

@ -1,7 +1,7 @@
" Vim syntax file
" Language: sudoers(5) configuration files
" Maintainer: Nikolai Weibull <now@bitwi.se>
" Latest Revision: 2006-04-19
" Latest Revision: 2007-08-02
if exists("b:current_syntax")
finish
@ -156,7 +156,7 @@ syn keyword sudoersListParameter contained env_check env_delete env_keep next
syn match sudoersParameterListComma contained ',' nextgroup=@sudoersParameter skipwhite skipnl
syn cluster sudoersParameter contains=sudoersBooleanParameter,sudoersIntegerParameterEquals,sudoersStringParameter,sudoersListParameter
syn cluster sudoersParameter contains=sudoersBooleanParameter,sudoersIntegerParameter,sudoersStringParameter,sudoersListParameter
syn match sudoersIntegerParameterEquals contained '[+-]\==' nextgroup=sudoersIntegerValue skipwhite skipnl
syn match sudoersStringParameterEquals contained '[+-]\==' nextgroup=sudoersStringValue skipwhite skipnl

View file

@ -1,9 +1,9 @@
" Vim syntax file
" Language: tpp - Text Presentation Program
" Maintainer: Debian VIM Maintainers <pkg-vim-maintainers@lists.alioth.debian.org>
" Maintainer: Debian Vim Maintainers <pkg-vim-maintainers@lists.alioth.debian.org>
" Former Maintainer: Gerfried Fuchs <alfie@ist.org>
" Last Change: $LastChangedDate: 2006-04-16 22:06:40 -0400 (dom, 16 apr 2006) $
" URL: http://svn.debian.org/wsvn/pkg-vim/trunk/runtime/syntax/tpp.vim?op=file&rev=0&sc=0
" Last Change: 2007-10-14
" URL: http://git.debian.org/?p=pkg-vim/vim.git;a=blob_plain;f=runtime/syntax/tpp.vim;hb=debian
" Filenames: *.tpp
" License: BSD
"

View file

@ -1,7 +1,13 @@
" Vim syntax file
" Language: Verilog-AMS
" Maintainer: S. Myles Prather <smprather@gmail.com>
" Last Update: Sun Aug 14 03:58:00 CST 2003
" Language: Verilog-AMS
" Maintainer: S. Myles Prather <smprather@gmail.com>
"
" Version 1.1 S. Myles Prather <smprather@gmail.com>
" Moved some keywords to the type category.
" Added the metrix suffixes to the number matcher.
" Version 1.2 Prasanna Tamhankar <pratam@gmail.com>
" Minor reserved keyword updates.
" Last Update: Thursday September 15 15:36:03 CST 2005
" For version 5.x: Clear all syntax items
" For version 6.x: Quit when a syntax file was already loaded
@ -21,17 +27,17 @@ endif
" Annex B.1 'All keywords'
syn keyword verilogamsStatement above abs absdelay acos acosh ac_stim
syn keyword verilogamsStatement always analog analysis and asin
syn keyword verilogamsStatement asinh assign atan atan2 atanh branch
syn keyword verilogamsStatement buf bufif1 ceil cmos
syn keyword verilogamsStatement asinh assign atan atan2 atanh
syn keyword verilogamsStatement buf bufif0 bufif1 ceil cmos connectmodule
syn keyword verilogamsStatement connectrules cos cosh cross ddt ddx deassign
syn keyword verilogamsStatement defparam disable discipline
syn keyword verilogamsStatement driver_update edge enddiscipline
syn keyword verilogamsStatement endconnectrules endmodule endfunction
syn keyword verilogamsStatement endconnectrules endmodule endfunction endgenerate
syn keyword verilogamsStatement endnature endparamset endprimitive endspecify
syn keyword verilogamsStatement endtable endtask event exp final_step
syn keyword verilogamsStatement flicker_noise floor flow force fork
syn keyword verilogamsStatement function generate genvar highz0
syn keyword verilogamsStatement highz1 hypot idt idtmod if ifnone initial
syn keyword verilogamsStatement function generate highz0
syn keyword verilogamsStatement highz1 hypot idt idtmod if ifnone inf initial
syn keyword verilogamsStatement initial_step inout input join
syn keyword verilogamsStatement laplace_nd laplace_np laplace_zd laplace_zp
syn keyword verilogamsStatement large last_crossing limexp ln localparam log
@ -40,17 +46,18 @@ syn keyword verilogamsStatement negedge net_resolution nmos noise_table nor not
syn keyword verilogamsStatement notif0 notif1 or output paramset pmos
syn keyword verilogamsType parameter real integer electrical input output
syn keyword verilogamsType inout reg tri tri0 tri1 triand trior trireg
syn keyword verilogamsType string from exclude aliasparam ground
syn keyword verilogamsType string from exclude aliasparam ground genvar
syn keyword verilogamsType branch time realtime
syn keyword verilogamsStatement posedge potential pow primitive pull0 pull1
syn keyword verilogamsStatement pullup pulldown rcmos release
syn keyword verilogamsStatement rnmos rpmos rtran rtranif0 rtranif1
syn keyword verilogamsStatement scalared sin sinh slew small specify specparam
syn keyword verilogamsStatement sqrt strong0 strong1 supply0 supply1
syn keyword verilogamsStatement table tan tanh task time timer tran tranif0
syn keyword verilogamsStatement table tan tanh task timer tran tranif0
syn keyword verilogamsStatement tranif1 transition
syn keyword verilogamsStatement vectored wait wand weak0 weak1
syn keyword verilogamsStatement white_noise wire wor wreal xnor xor zi_nd
syn keyword verilogamsStatement zi_np zi_zd
syn keyword verilogamsStatement zi_np zi_zd zi_zp
syn keyword verilogamsRepeat forever repeat while for
syn keyword verilogamsLabel begin end
syn keyword verilogamsConditional if else case casex casez default endcase
@ -95,7 +102,7 @@ syn match verilogamsNumber "\(\<\d\+\|\)'[bB]\s*[0-1_xXzZ?]\+\>"
syn match verilogamsNumber "\(\<\d\+\|\)'[oO]\s*[0-7_xXzZ?]\+\>"
syn match verilogamsNumber "\(\<\d\+\|\)'[dD]\s*[0-9_xXzZ?]\+\>"
syn match verilogamsNumber "\(\<\d\+\|\)'[hH]\s*[0-9a-fA-F_xXzZ?]\+\>"
syn match verilogamsNumber "\<[+-]\=[0-9_]\+\(\.[0-9_]*\|\)\(e[0-9_]*\|\)\>"
syn match verilogamsNumber "\<[+-]\=[0-9_]\+\(\.[0-9_]*\|\)\(e[0-9_]*\|\)[TGMKkmunpfa]\=\>"
syn region verilogamsString start=+"+ skip=+\\"+ end=+"+ contains=verilogamsEscape
syn match verilogamsEscape +\\[nt"\\]+ contained

29
runtime/syntax/xbl.vim Normal file
View file

@ -0,0 +1,29 @@
" Vim syntax file
" Language: XBL 1.0
" Maintainer: Doug Kearns <dougkearns@gmail.com>
" Latest Revision: 2007 November 5
if exists("b:current_syntax")
finish
endif
let s:cpo_save = &cpo
set cpo&vim
runtime! syntax/xml.vim
unlet b:current_syntax
syn include @javascriptTop syntax/javascript.vim
unlet b:current_syntax
syn region xblJavascript
\ matchgroup=xmlCdataStart start=+<!\[CDATA\[+
\ matchgroup=xmlCdataEnd end=+]]>+
\ contains=@javascriptTop keepend extend
let b:current_syntax = "xbl"
let &cpo = s:cpo_save
unlet s:cpo_save
" vim: ts=8

View file

@ -1,7 +1,7 @@
" Vim syntax file
" Language: X Pixmap
" Maintainer: Ronald Schild <rs@scutum.de>
" Last Change: 2001 May 09
" Last Change: 2008 May 28
" Version: 5.4n.1
" For version 5.x: Clear all syntax items
@ -38,9 +38,15 @@ while i <= line("$") " scanning all lines
let colors = substitute(s, '"\s*\d\+\s\+\d\+\s\+\(\d\+\).*"', '\1', '')
" get the 4th value: cpp = number of character per pixel
let cpp = substitute(s, '"\s*\d\+\s\+\d\+\s\+\d\+\s\+\(\d\+\).*"', '\1', '')
if cpp =~ '[^0-9]'
break " if cpp is not made of digits there must be something wrong
endif
" highlight the Values string as normal string (no pixel string)
exe 'syn match xpmValues /'.s.'/'
" Highlight the Values string as normal string (no pixel string).
" Only when there is no slash, it would terminate the pattern.
if s !~ '/'
exe 'syn match xpmValues /' . s . '/'
endif
hi link xpmValues String
let n = 1 " n = color index
@ -103,7 +109,7 @@ while i <= line("$") " scanning all lines
if color == "" || substitute(color, '.*', '\L&', '') == 'none'
exe 'hi xpmColor'.n.' guifg=bg'
exe 'hi xpmColor'.n.' guibg=NONE'
else
elseif color !~ "'"
exe 'hi xpmColor'.n." guifg='".color."'"
exe 'hi xpmColor'.n." guibg='".color."'"
endif

View file

@ -0,0 +1,24 @@
’¦ Tutor œå¤˜  £å˜ "®œ ¨¦¤˜¡« ¡ã" §œ¨ ãšž©ž š ˜ ¤â¦¬ª ®¨ã©«œª «¦¬
©¬¤«á¡«ž Vim.
Ž  §œ¨ ©©æ«œ¨¦  ¤â¦  ®¨ã©«œª £§¦¨¦ç¤ ¤˜ «¦ «œ¢œ é©¦¬¤ ©œ ¢ šæ«œ¨¦ ˜§æ
£å˜ 騘. ’¦ ˜§¦«â¢œ©£˜ œå¤˜  æ«  £§¦¨œå«œ ¤˜ ¡á¤œ«œ £å˜ ˜§¢ã œ¨š˜©å˜
œ§œ¥œ¨š˜©å˜ª ¡œ £â¤¦¬ ®¨ž© £¦§¦ é¤«˜ª «¦¤ ©¬¤«á¡«ž Vim.
’¦ Tutor œå¤˜  ⤘ ˜¨®œå¦ §¦¬ §œ¨ â®œ  «˜ £˜Ÿã£˜«˜ «žª §¨¦§˜¨˜©¡œ¬ãª.
‹§¦¨œå«œ ¤˜ œ¡«œ¢â©œ«œ ˜§¢á "vim tutor" ¡˜  £œ«á ¤˜ ˜¡¦¢¦¬Ÿã©œ«œ « ª
¦›žšåœª ©«˜ £˜Ÿã£˜«˜. ˜ £˜Ÿã£˜«˜ Ÿ˜ ©˜ª §¦ç¤œ ¤˜ «¨¦§¦§¦ ã©œ«œ
«¦ ˜¨®œå¦, œ§¦£â¤àª ‹†Œ ’Ž ‰€Œ„’„ ‘’Ž <20><>Ž<E28099>Ž €Œˆ<CB86>€”Ž ‘€‘.
‘œ ©ç©«ž£˜ Unix £§¦¨œå«œ œ§å©žª ¤˜ ®¨ž© £¦§¦ ã©œ«œ «¦ §¨æš¨˜££˜ "vimtutor".
‡˜ ›ž£ ¦¬¨šã©œ  §¨é«˜ ⤘ §¨æ®œ ¨¦ ˜¤«åš¨˜­¦ «¦¬ tutor.
ë®à ©¡œ­«œå ¤˜ §¨¦©Ÿâ©à §œ¨ ©©æ«œ¨˜ §¨¦®à¨ž£â¤˜ £˜Ÿã£˜«˜ ˜¢¢á ›œ¤ â®à ™¨œ 
«¦¤ ˜§˜¨˜å«ž«¦ ®¨æ¤¦. „¤ž£œ¨é©«œ £œ §˜¨˜¡˜¢é §éª Ÿ˜ «¦ Ÿâ¢˜«œ ¡˜  ©«œå¢œ«œ
£¦¬ ¦§¦ œ©›ã§¦«œ ™œ¢« é©œ ª ¡á¤œ«œ.
Bob Ware, Colorado School of Mines, Golden, Co 80401, USA
(303) 273-3987
bware@mines.colorado.edu bware@slate.mines.colorado.edu bware@mines.bitnet
[’¦ ˜¨®œå¦ ˜¬«æ «¨¦§¦§¦ ãŸž¡œ š ˜ «¦¤ Vim ˜§æ «¦¤ Bram Moolenaar]

View file

@ -0,0 +1,24 @@
Το Tutor είναι μία "χειρονακτική" περιήγηση για νέους χρήστες του
συντάκτη Vim.
Οι περισσότεροι νέοι χρήστες μπορούν να το τελειώσουν σε λιγότερο από
μία ώρα. Το αποτέλεσμα είναι ότι μπορείτε να κάνετε μία απλή εργασία
επεξεργασίας κειμένου χρησιμοποιώντας τον συντάκτη Vim.
Το Tutor είναι ένα αρχείο που περιέχει τα μαθήματα της προπαρασκευής.
Μπορείτε να εκτελέσετε απλά "vim tutor" και μετά να ακολουθήσετε τις
οδηγίες στα μαθήματα. Τα μαθήματα θα σας πούνε να τροποποιήσετε
το αρχείο, επομένως ΜΗΝ ΤΟ ΚΑΝΕΤΕ ΣΤΟ ΠΡΩΤΟΤΥΠΟ ΑΝΤΙΓΡΑΦΟ ΣΑΣ.
Σε σύστημα Unix μπορείτε επίσης να χρησιμοποιήσετε το πρόγραμμα "vimtutor".
Θα δημιουργήσει πρώτα ένα πρόχειρο αντίγραφο του tutor.
Έχω σκεφτεί να προσθέσω περισσότερα προχωρημένα μαθήματα αλλά δεν έχω βρει
τον απαραίτητο χρόνο. Ενημερώστε με παρακαλώ πώς θα το θέλατε και στείλετε
μου οποιεσδήποτε βελτιώσεις κάνετε.
Bob Ware, Colorado School of Mines, Golden, Co 80401, USA
(303) 273-3987
bware@mines.colorado.edu bware@slate.mines.colorado.edu bware@mines.bitnet
[Το αρχείο αυτό τροποποιήθηκε για τον Vim από τον Bram Moolenaar]

Binary file not shown.

View file

@ -0,0 +1,12 @@
README_amibin.txt for version 7.2a of Vim: Vi IMproved.
See "README.txt" for general information about Vim.
See "README_ami.txt" for installation instructions for the Amiga.
These files are in the runtime archive (vim60rt.tgz).
The Amiga "bin" archive contains the Vim executable for the Amiga. It was
compiled with "big" features.
Postscript printing is not included to avoid requiring floating point
computations.

View file

@ -0,0 +1,61 @@
README_extra.txt for version 7.2a of Vim: Vi IMproved.
The extra archive of Vim is to be used in combination with the source archive
(vim-7.0-src.tar.gz). The extra archive is useless without it.
For more information, see the "README.txt" file that comes with the runtime
archive (vim-7.0-rt.tar.gz). To be able to run Vim you MUST get the runtime
archive too!
The extra archive plus the source and runtime achives make up the complete
sources of Vim for all systems.
Some of the things that the extra archive contains:
Farsi Files for the Farsi (persian) language. If you don't know
what Farsi is, this is not for you.
if_sniff Interface to SNiFF. If you don't know what SNiFF is, this is
not for you.
os_amiga Files for the Amiga port.
gui_riscos
os_riscos Files for the RISC OS port.
gui_beos
os_beos Files for the BeOS port.
os_msdos
os_dos Files for the MS-DOS port.
gui_mac
os_mac Files for the Mac port.
os_mint Files for the Atari Mint port.
os_os2 Files for the OS/2 port.
tee Extra program for OS/2.
os_vms Files for the VMS port.
os_w32
os_win32 Files for the Win32 port.
gui_w32 Files for the Win32 GUI.
gui_w48 Files for the Win32 and Win16 GUI.
Make_mvc.mak MS Visual C++ makefile for the Win32 GUI.
rgb.txt File with color definitions for the Win32 GUI.
if_ole OLE automation interface, for MS Windows 95 and NT.
VisVim Integration of Win32 GUI with MS Visual Developer Studio.
GvimExt DLL for the "Edit with Vim" context menu entry
nsis NSIS script to build the self-installing MS-Windows exe
*.man Preprocessed manual pages.
file_select.vim Vim script to browse directories (Unix only).

View file

@ -0,0 +1,20 @@
README_ole.txt for version 7.2a of Vim: Vi IMproved.
This archive contains gvim.exe with OLE interface and VisVim.
This version of gvim.exe can also load a number of interface dynamically (you
can optionally install the .dll files for each interface).
It is only for MS-Windows 95/98/ME/NT/2000/XP.
Also see the README_bindos.txt, README_dos.txt and README.txt files.
Be careful not to overwrite the OLE gvim.exe with the non-OLE gvim.exe when
unpacking another binary archive! Check the output of ":version":
Win32s - "MS-Windows 16/32 bit GUI version"
Win32 - "MS-Windows 32 bit GUI version"
Win32 with OLE - "MS-Windows 32 bit GUI version with OLE support"
For further information, type this inside Vim:
:help if_ole
Futhermore, this archive contains VISVIM.DLL. It can be used to integrate
the OLE gvim with Microsoft Visual Developer Studio. See VisVim/README.txt.

BIN
runtime/tutor/runtime/doc.info Executable file

Binary file not shown.

View file

@ -0,0 +1,35 @@
" Vim support file to switch on loading plugins for file types
"
" Maintainer: Bram Moolenaar <Bram@vim.org>
" Last change: 2006 Apr 30
if exists("did_load_ftplugin")
finish
endif
let did_load_ftplugin = 1
augroup filetypeplugin
au FileType * call s:LoadFTPlugin()
func! s:LoadFTPlugin()
if exists("b:undo_ftplugin")
exe b:undo_ftplugin
unlet! b:undo_ftplugin b:did_ftplugin
endif
let s = expand("<amatch>")
if s != ""
if &cpo =~# "S" && exists("b:did_ftplugin")
" In compatible mode options are reset to the global values, need to
" set the local values also when a plugin was already used.
unlet b:did_ftplugin
endif
" When there is a dot it is used to separate filetype names. Thus for
" "aaa.bbb" load "aaa" and then "bbb".
for name in split(s, '\.')
exe 'runtime! ftplugin/' . name . '.vim ftplugin/' . name . '_*.vim ftplugin/' . name . '/*.vim'
endfor
endif
endfunc
augroup END

View file

@ -0,0 +1,11 @@
" Vim support file to switch off loading plugins for file types
"
" Maintainer: Bram Moolenaar <Bram@vim.org>
" Last Change: 2002 Apr 04
if exists("did_load_ftplugin")
unlet did_load_ftplugin
endif
" Remove all autocommands in the filetypeplugin group
silent! au! filetypeplugin *

Binary file not shown.

After

(image error) Size: 454 B

File diff suppressed because it is too large Load diff

View file

@ -0,0 +1,753 @@
! $XConsortium: rgb.txt,v 10.41 94/02/20 18:39:36 rws Exp $
255 250 250 snow
248 248 255 ghost white
248 248 255 GhostWhite
245 245 245 white smoke
245 245 245 WhiteSmoke
220 220 220 gainsboro
255 250 240 floral white
255 250 240 FloralWhite
253 245 230 old lace
253 245 230 OldLace
250 240 230 linen
250 235 215 antique white
250 235 215 AntiqueWhite
255 239 213 papaya whip
255 239 213 PapayaWhip
255 235 205 blanched almond
255 235 205 BlanchedAlmond
255 228 196 bisque
255 218 185 peach puff
255 218 185 PeachPuff
255 222 173 navajo white
255 222 173 NavajoWhite
255 228 181 moccasin
255 248 220 cornsilk
255 255 240 ivory
255 250 205 lemon chiffon
255 250 205 LemonChiffon
255 245 238 seashell
240 255 240 honeydew
245 255 250 mint cream
245 255 250 MintCream
240 255 255 azure
240 248 255 alice blue
240 248 255 AliceBlue
230 230 250 lavender
255 240 245 lavender blush
255 240 245 LavenderBlush
255 228 225 misty rose
255 228 225 MistyRose
255 255 255 white
0 0 0 black
47 79 79 dark slate gray
47 79 79 DarkSlateGray
47 79 79 dark slate grey
47 79 79 DarkSlateGrey
105 105 105 dim gray
105 105 105 DimGray
105 105 105 dim grey
105 105 105 DimGrey
112 128 144 slate gray
112 128 144 SlateGray
112 128 144 slate grey
112 128 144 SlateGrey
119 136 153 light slate gray
119 136 153 LightSlateGray
119 136 153 light slate grey
119 136 153 LightSlateGrey
190 190 190 gray
190 190 190 grey
211 211 211 light grey
211 211 211 LightGrey
211 211 211 light gray
211 211 211 LightGray
25 25 112 midnight blue
25 25 112 MidnightBlue
0 0 128 navy
0 0 128 navy blue
0 0 128 NavyBlue
100 149 237 cornflower blue
100 149 237 CornflowerBlue
72 61 139 dark slate blue
72 61 139 DarkSlateBlue
106 90 205 slate blue
106 90 205 SlateBlue
123 104 238 medium slate blue
123 104 238 MediumSlateBlue
132 112 255 light slate blue
132 112 255 LightSlateBlue
0 0 205 medium blue
0 0 205 MediumBlue
65 105 225 royal blue
65 105 225 RoyalBlue
0 0 255 blue
30 144 255 dodger blue
30 144 255 DodgerBlue
0 191 255 deep sky blue
0 191 255 DeepSkyBlue
135 206 235 sky blue
135 206 235 SkyBlue
135 206 250 light sky blue
135 206 250 LightSkyBlue
70 130 180 steel blue
70 130 180 SteelBlue
176 196 222 light steel blue
176 196 222 LightSteelBlue
173 216 230 light blue
173 216 230 LightBlue
176 224 230 powder blue
176 224 230 PowderBlue
175 238 238 pale turquoise
175 238 238 PaleTurquoise
0 206 209 dark turquoise
0 206 209 DarkTurquoise
72 209 204 medium turquoise
72 209 204 MediumTurquoise
64 224 208 turquoise
0 255 255 cyan
224 255 255 light cyan
224 255 255 LightCyan
95 158 160 cadet blue
95 158 160 CadetBlue
102 205 170 medium aquamarine
102 205 170 MediumAquamarine
127 255 212 aquamarine
0 100 0 dark green
0 100 0 DarkGreen
85 107 47 dark olive green
85 107 47 DarkOliveGreen
143 188 143 dark sea green
143 188 143 DarkSeaGreen
46 139 87 sea green
46 139 87 SeaGreen
60 179 113 medium sea green
60 179 113 MediumSeaGreen
32 178 170 light sea green
32 178 170 LightSeaGreen
152 251 152 pale green
152 251 152 PaleGreen
0 255 127 spring green
0 255 127 SpringGreen
124 252 0 lawn green
124 252 0 LawnGreen
0 255 0 green
127 255 0 chartreuse
0 250 154 medium spring green
0 250 154 MediumSpringGreen
173 255 47 green yellow
173 255 47 GreenYellow
50 205 50 lime green
50 205 50 LimeGreen
154 205 50 yellow green
154 205 50 YellowGreen
34 139 34 forest green
34 139 34 ForestGreen
107 142 35 olive drab
107 142 35 OliveDrab
189 183 107 dark khaki
189 183 107 DarkKhaki
240 230 140 khaki
238 232 170 pale goldenrod
238 232 170 PaleGoldenrod
250 250 210 light goldenrod yellow
250 250 210 LightGoldenrodYellow
255 255 224 light yellow
255 255 224 LightYellow
255 255 0 yellow
255 215 0 gold
238 221 130 light goldenrod
238 221 130 LightGoldenrod
218 165 32 goldenrod
184 134 11 dark goldenrod
184 134 11 DarkGoldenrod
188 143 143 rosy brown
188 143 143 RosyBrown
205 92 92 indian red
205 92 92 IndianRed
139 69 19 saddle brown
139 69 19 SaddleBrown
160 82 45 sienna
205 133 63 peru
222 184 135 burlywood
245 245 220 beige
245 222 179 wheat
244 164 96 sandy brown
244 164 96 SandyBrown
210 180 140 tan
210 105 30 chocolate
178 34 34 firebrick
165 42 42 brown
233 150 122 dark salmon
233 150 122 DarkSalmon
250 128 114 salmon
255 160 122 light salmon
255 160 122 LightSalmon
255 165 0 orange
255 140 0 dark orange
255 140 0 DarkOrange
255 127 80 coral
240 128 128 light coral
240 128 128 LightCoral
255 99 71 tomato
255 69 0 orange red
255 69 0 OrangeRed
255 0 0 red
255 105 180 hot pink
255 105 180 HotPink
255 20 147 deep pink
255 20 147 DeepPink
255 192 203 pink
255 182 193 light pink
255 182 193 LightPink
219 112 147 pale violet red
219 112 147 PaleVioletRed
176 48 96 maroon
199 21 133 medium violet red
199 21 133 MediumVioletRed
208 32 144 violet red
208 32 144 VioletRed
255 0 255 magenta
238 130 238 violet
221 160 221 plum
218 112 214 orchid
186 85 211 medium orchid
186 85 211 MediumOrchid
153 50 204 dark orchid
153 50 204 DarkOrchid
148 0 211 dark violet
148 0 211 DarkViolet
138 43 226 blue violet
138 43 226 BlueViolet
160 32 240 purple
147 112 219 medium purple
147 112 219 MediumPurple
216 191 216 thistle
255 250 250 snow1
238 233 233 snow2
205 201 201 snow3
139 137 137 snow4
255 245 238 seashell1
238 229 222 seashell2
205 197 191 seashell3
139 134 130 seashell4
255 239 219 AntiqueWhite1
238 223 204 AntiqueWhite2
205 192 176 AntiqueWhite3
139 131 120 AntiqueWhite4
255 228 196 bisque1
238 213 183 bisque2
205 183 158 bisque3
139 125 107 bisque4
255 218 185 PeachPuff1
238 203 173 PeachPuff2
205 175 149 PeachPuff3
139 119 101 PeachPuff4
255 222 173 NavajoWhite1
238 207 161 NavajoWhite2
205 179 139 NavajoWhite3
139 121 94 NavajoWhite4
255 250 205 LemonChiffon1
238 233 191 LemonChiffon2
205 201 165 LemonChiffon3
139 137 112 LemonChiffon4
255 248 220 cornsilk1
238 232 205 cornsilk2
205 200 177 cornsilk3
139 136 120 cornsilk4
255 255 240 ivory1
238 238 224 ivory2
205 205 193 ivory3
139 139 131 ivory4
240 255 240 honeydew1
224 238 224 honeydew2
193 205 193 honeydew3
131 139 131 honeydew4
255 240 245 LavenderBlush1
238 224 229 LavenderBlush2
205 193 197 LavenderBlush3
139 131 134 LavenderBlush4
255 228 225 MistyRose1
238 213 210 MistyRose2
205 183 181 MistyRose3
139 125 123 MistyRose4
240 255 255 azure1
224 238 238 azure2
193 205 205 azure3
131 139 139 azure4
131 111 255 SlateBlue1
122 103 238 SlateBlue2
105 89 205 SlateBlue3
71 60 139 SlateBlue4
72 118 255 RoyalBlue1
67 110 238 RoyalBlue2
58 95 205 RoyalBlue3
39 64 139 RoyalBlue4
0 0 255 blue1
0 0 238 blue2
0 0 205 blue3
0 0 139 blue4
30 144 255 DodgerBlue1
28 134 238 DodgerBlue2
24 116 205 DodgerBlue3
16 78 139 DodgerBlue4
99 184 255 SteelBlue1
92 172 238 SteelBlue2
79 148 205 SteelBlue3
54 100 139 SteelBlue4
0 191 255 DeepSkyBlue1
0 178 238 DeepSkyBlue2
0 154 205 DeepSkyBlue3
0 104 139 DeepSkyBlue4
135 206 255 SkyBlue1
126 192 238 SkyBlue2
108 166 205 SkyBlue3
74 112 139 SkyBlue4
176 226 255 LightSkyBlue1
164 211 238 LightSkyBlue2
141 182 205 LightSkyBlue3
96 123 139 LightSkyBlue4
198 226 255 SlateGray1
185 211 238 SlateGray2
159 182 205 SlateGray3
108 123 139 SlateGray4
202 225 255 LightSteelBlue1
188 210 238 LightSteelBlue2
162 181 205 LightSteelBlue3
110 123 139 LightSteelBlue4
191 239 255 LightBlue1
178 223 238 LightBlue2
154 192 205 LightBlue3
104 131 139 LightBlue4
224 255 255 LightCyan1
209 238 238 LightCyan2
180 205 205 LightCyan3
122 139 139 LightCyan4
187 255 255 PaleTurquoise1
174 238 238 PaleTurquoise2
150 205 205 PaleTurquoise3
102 139 139 PaleTurquoise4
152 245 255 CadetBlue1
142 229 238 CadetBlue2
122 197 205 CadetBlue3
83 134 139 CadetBlue4
0 245 255 turquoise1
0 229 238 turquoise2
0 197 205 turquoise3
0 134 139 turquoise4
0 255 255 cyan1
0 238 238 cyan2
0 205 205 cyan3
0 139 139 cyan4
151 255 255 DarkSlateGray1
141 238 238 DarkSlateGray2
121 205 205 DarkSlateGray3
82 139 139 DarkSlateGray4
127 255 212 aquamarine1
118 238 198 aquamarine2
102 205 170 aquamarine3
69 139 116 aquamarine4
193 255 193 DarkSeaGreen1
180 238 180 DarkSeaGreen2
155 205 155 DarkSeaGreen3
105 139 105 DarkSeaGreen4
84 255 159 SeaGreen1
78 238 148 SeaGreen2
67 205 128 SeaGreen3
46 139 87 SeaGreen4
154 255 154 PaleGreen1
144 238 144 PaleGreen2
124 205 124 PaleGreen3
84 139 84 PaleGreen4
0 255 127 SpringGreen1
0 238 118 SpringGreen2
0 205 102 SpringGreen3
0 139 69 SpringGreen4
0 255 0 green1
0 238 0 green2
0 205 0 green3
0 139 0 green4
127 255 0 chartreuse1
118 238 0 chartreuse2
102 205 0 chartreuse3
69 139 0 chartreuse4
192 255 62 OliveDrab1
179 238 58 OliveDrab2
154 205 50 OliveDrab3
105 139 34 OliveDrab4
202 255 112 DarkOliveGreen1
188 238 104 DarkOliveGreen2
162 205 90 DarkOliveGreen3
110 139 61 DarkOliveGreen4
255 246 143 khaki1
238 230 133 khaki2
205 198 115 khaki3
139 134 78 khaki4
255 236 139 LightGoldenrod1
238 220 130 LightGoldenrod2
205 190 112 LightGoldenrod3
139 129 76 LightGoldenrod4
255 255 224 LightYellow1
238 238 209 LightYellow2
205 205 180 LightYellow3
139 139 122 LightYellow4
255 255 0 yellow1
238 238 0 yellow2
205 205 0 yellow3
139 139 0 yellow4
255 215 0 gold1
238 201 0 gold2
205 173 0 gold3
139 117 0 gold4
255 193 37 goldenrod1
238 180 34 goldenrod2
205 155 29 goldenrod3
139 105 20 goldenrod4
255 185 15 DarkGoldenrod1
238 173 14 DarkGoldenrod2
205 149 12 DarkGoldenrod3
139 101 8 DarkGoldenrod4
255 193 193 RosyBrown1
238 180 180 RosyBrown2
205 155 155 RosyBrown3
139 105 105 RosyBrown4
255 106 106 IndianRed1
238 99 99 IndianRed2
205 85 85 IndianRed3
139 58 58 IndianRed4
255 130 71 sienna1
238 121 66 sienna2
205 104 57 sienna3
139 71 38 sienna4
255 211 155 burlywood1
238 197 145 burlywood2
205 170 125 burlywood3
139 115 85 burlywood4
255 231 186 wheat1
238 216 174 wheat2
205 186 150 wheat3
139 126 102 wheat4
255 165 79 tan1
238 154 73 tan2
205 133 63 tan3
139 90 43 tan4
255 127 36 chocolate1
238 118 33 chocolate2
205 102 29 chocolate3
139 69 19 chocolate4
255 48 48 firebrick1
238 44 44 firebrick2
205 38 38 firebrick3
139 26 26 firebrick4
255 64 64 brown1
238 59 59 brown2
205 51 51 brown3
139 35 35 brown4
255 140 105 salmon1
238 130 98 salmon2
205 112 84 salmon3
139 76 57 salmon4
255 160 122 LightSalmon1
238 149 114 LightSalmon2
205 129 98 LightSalmon3
139 87 66 LightSalmon4
255 165 0 orange1
238 154 0 orange2
205 133 0 orange3
139 90 0 orange4
255 127 0 DarkOrange1
238 118 0 DarkOrange2
205 102 0 DarkOrange3
139 69 0 DarkOrange4
255 114 86 coral1
238 106 80 coral2
205 91 69 coral3
139 62 47 coral4
255 99 71 tomato1
238 92 66 tomato2
205 79 57 tomato3
139 54 38 tomato4
255 69 0 OrangeRed1
238 64 0 OrangeRed2
205 55 0 OrangeRed3
139 37 0 OrangeRed4
255 0 0 red1
238 0 0 red2
205 0 0 red3
139 0 0 red4
255 20 147 DeepPink1
238 18 137 DeepPink2
205 16 118 DeepPink3
139 10 80 DeepPink4
255 110 180 HotPink1
238 106 167 HotPink2
205 96 144 HotPink3
139 58 98 HotPink4
255 181 197 pink1
238 169 184 pink2
205 145 158 pink3
139 99 108 pink4
255 174 185 LightPink1
238 162 173 LightPink2
205 140 149 LightPink3
139 95 101 LightPink4
255 130 171 PaleVioletRed1
238 121 159 PaleVioletRed2
205 104 137 PaleVioletRed3
139 71 93 PaleVioletRed4
255 52 179 maroon1
238 48 167 maroon2
205 41 144 maroon3
139 28 98 maroon4
255 62 150 VioletRed1
238 58 140 VioletRed2
205 50 120 VioletRed3
139 34 82 VioletRed4
255 0 255 magenta1
238 0 238 magenta2
205 0 205 magenta3
139 0 139 magenta4
255 131 250 orchid1
238 122 233 orchid2
205 105 201 orchid3
139 71 137 orchid4
255 187 255 plum1
238 174 238 plum2
205 150 205 plum3
139 102 139 plum4
224 102 255 MediumOrchid1
209 95 238 MediumOrchid2
180 82 205 MediumOrchid3
122 55 139 MediumOrchid4
191 62 255 DarkOrchid1
178 58 238 DarkOrchid2
154 50 205 DarkOrchid3
104 34 139 DarkOrchid4
155 48 255 purple1
145 44 238 purple2
125 38 205 purple3
85 26 139 purple4
171 130 255 MediumPurple1
159 121 238 MediumPurple2
137 104 205 MediumPurple3
93 71 139 MediumPurple4
255 225 255 thistle1
238 210 238 thistle2
205 181 205 thistle3
139 123 139 thistle4
0 0 0 gray0
0 0 0 grey0
3 3 3 gray1
3 3 3 grey1
5 5 5 gray2
5 5 5 grey2
8 8 8 gray3
8 8 8 grey3
10 10 10 gray4
10 10 10 grey4
13 13 13 gray5
13 13 13 grey5
15 15 15 gray6
15 15 15 grey6
18 18 18 gray7
18 18 18 grey7
20 20 20 gray8
20 20 20 grey8
23 23 23 gray9
23 23 23 grey9
26 26 26 gray10
26 26 26 grey10
28 28 28 gray11
28 28 28 grey11
31 31 31 gray12
31 31 31 grey12
33 33 33 gray13
33 33 33 grey13
36 36 36 gray14
36 36 36 grey14
38 38 38 gray15
38 38 38 grey15
41 41 41 gray16
41 41 41 grey16
43 43 43 gray17
43 43 43 grey17
46 46 46 gray18
46 46 46 grey18
48 48 48 gray19
48 48 48 grey19
51 51 51 gray20
51 51 51 grey20
54 54 54 gray21
54 54 54 grey21
56 56 56 gray22
56 56 56 grey22
59 59 59 gray23
59 59 59 grey23
61 61 61 gray24
61 61 61 grey24
64 64 64 gray25
64 64 64 grey25
66 66 66 gray26
66 66 66 grey26
69 69 69 gray27
69 69 69 grey27
71 71 71 gray28
71 71 71 grey28
74 74 74 gray29
74 74 74 grey29
77 77 77 gray30
77 77 77 grey30
79 79 79 gray31
79 79 79 grey31
82 82 82 gray32
82 82 82 grey32
84 84 84 gray33
84 84 84 grey33
87 87 87 gray34
87 87 87 grey34
89 89 89 gray35
89 89 89 grey35
92 92 92 gray36
92 92 92 grey36
94 94 94 gray37
94 94 94 grey37
97 97 97 gray38
97 97 97 grey38
99 99 99 gray39
99 99 99 grey39
102 102 102 gray40
102 102 102 grey40
105 105 105 gray41
105 105 105 grey41
107 107 107 gray42
107 107 107 grey42
110 110 110 gray43
110 110 110 grey43
112 112 112 gray44
112 112 112 grey44
115 115 115 gray45
115 115 115 grey45
117 117 117 gray46
117 117 117 grey46
120 120 120 gray47
120 120 120 grey47
122 122 122 gray48
122 122 122 grey48
125 125 125 gray49
125 125 125 grey49
127 127 127 gray50
127 127 127 grey50
130 130 130 gray51
130 130 130 grey51
133 133 133 gray52
133 133 133 grey52
135 135 135 gray53
135 135 135 grey53
138 138 138 gray54
138 138 138 grey54
140 140 140 gray55
140 140 140 grey55
143 143 143 gray56
143 143 143 grey56
145 145 145 gray57
145 145 145 grey57
148 148 148 gray58
148 148 148 grey58
150 150 150 gray59
150 150 150 grey59
153 153 153 gray60
153 153 153 grey60
156 156 156 gray61
156 156 156 grey61
158 158 158 gray62
158 158 158 grey62
161 161 161 gray63
161 161 161 grey63
163 163 163 gray64
163 163 163 grey64
166 166 166 gray65
166 166 166 grey65
168 168 168 gray66
168 168 168 grey66
171 171 171 gray67
171 171 171 grey67
173 173 173 gray68
173 173 173 grey68
176 176 176 gray69
176 176 176 grey69
179 179 179 gray70
179 179 179 grey70
181 181 181 gray71
181 181 181 grey71
184 184 184 gray72
184 184 184 grey72
186 186 186 gray73
186 186 186 grey73
189 189 189 gray74
189 189 189 grey74
191 191 191 gray75
191 191 191 grey75
194 194 194 gray76
194 194 194 grey76
196 196 196 gray77
196 196 196 grey77
199 199 199 gray78
199 199 199 grey78
201 201 201 gray79
201 201 201 grey79
204 204 204 gray80
204 204 204 grey80
207 207 207 gray81
207 207 207 grey81
209 209 209 gray82
209 209 209 grey82
212 212 212 gray83
212 212 212 grey83
214 214 214 gray84
214 214 214 grey84
217 217 217 gray85
217 217 217 grey85
219 219 219 gray86
219 219 219 grey86
222 222 222 gray87
222 222 222 grey87
224 224 224 gray88
224 224 224 grey88
227 227 227 gray89
227 227 227 grey89
229 229 229 gray90
229 229 229 grey90
232 232 232 gray91
232 232 232 grey91
235 235 235 gray92
235 235 235 grey92
237 237 237 gray93
237 237 237 grey93
240 240 240 gray94
240 240 240 grey94
242 242 242 gray95
242 242 242 grey95
245 245 245 gray96
245 245 245 grey96
247 247 247 gray97
247 247 247 grey97
250 250 250 gray98
250 250 250 grey98
252 252 252 gray99
252 252 252 grey99
255 255 255 gray100
255 255 255 grey100
169 169 169 dark grey
169 169 169 DarkGrey
169 169 169 dark gray
169 169 169 DarkGray
0 0 139 dark blue
0 0 139 DarkBlue
0 139 139 dark cyan
0 139 139 DarkCyan
139 0 139 dark magenta
139 0 139 DarkMagenta
139 0 0 dark red
139 0 0 DarkRed
144 238 144 light green
144 238 144 LightGreen

BIN
runtime/tutor/runtime/tutor.info Executable file

Binary file not shown.

Some files were not shown because too many files have changed in this diff Show more